Loading Scheme...
CPC
COOPERATIVE PATENT CLASSIFICATION
H01L
SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10 (use of semiconductor devices for measuring G01; resistors in general H01C; magnets, inductors or transformers H01F; capacitors in general H01G; electrolytic devices H01G 9/00; batteries or accumulators H01M; waveguides, resonators or lines of the waveguide type H01P; line connectors or current collectors H01R; stimulated-emission devices H01S; electromechanical resonators H03H; loudspeakers, microphones, gramophone pick-ups or like acoustic electromechanical transducers H04R; electric light sources in general H05B; printed circuits, hybrid circuits, casings or constructional details of electrical apparatus, manufacture of assemblages of electrical components H05K; use of semiconductor devices in circuits having a particular application, see the subclass for the application) [2024-01]
NOTES

  • This subclass is residual to class H10.
  • This subclass covers:
    • semiconductor devices for rectifying, amplifying, oscillating or switching; their constructional details or arrangements; their assemblies or integrated devices; their manufacture or treatment;
    • semiconductor devices sensitive to radiation; their constructional details or arrangements; their assemblies or integrated devices; their manufacture or treatment;
    • semiconductor devices for light emission; their constructional details or arrangements; their assemblies or integrated devices; their manufacture or treatment;
    • processes or apparatus for the manufacture or treatment of semiconductor or solid-state devices where the type of device is not listed under bullets 1 to 3, above, or not essential;
    • constructional details or arrangements of semiconductor or solid-state devices not covered by class H10 and not specific to types of devices listed under bullets 1 to 3, above;
    • packaging or assembling of semiconductor or solid-state devices covered by this subclass or by class H10.
  • In this subclass, the following terms or expressions are used with the meaning indicated:
    • "wafer" means a slice of semiconductor or crystalline substrate material, which can be modified by impurity diffusion (doping), ion implantation or epitaxy, and whose active surface can be processed into arrays of discrete components or integrated circuits;
    • "solid state body" means the body of material within which, or at the surface of which, the physical effects characteristic of the device occur;
    • "electrode" is a region in or on the body of the device (other than the solid state body itself), which exerts an electrical influence on the solid state body, irrespective of whether or not an external electrical connection is made thereto. An electrode may include several portions and the term includes metallic regions which exert influence on the solid state body through an insulating region (e.g. capacitive coupling) and inductive coupling arrangements to the body. The dielectric region in a capacitive arrangement is regarded as part of the electrode. In arrangements including several portions, only those portions which exert an influence on the solid state body by virtue of their shape, size, or disposition or the material of which they are formed are considered to be part of the electrode. The other portions are considered to be "arrangements for conducting electric current to or from the solid state body" or "interconnections between solid state components formed in or on a common substrate", i.e. leads;
    • "device" means an electric circuit element; where an electric circuit element is one of a plurality of elements formed in or on a common substrate; it is referred to as a "component";
    • "complete device" is a device in its fully assembled state which may or may not require further treatment, e.g. electroforming, before it is ready for use but which does not require the addition of further structural units;
    • "parts" includes all structural units which are included in a complete device;
    • "container" is an enclosure forming part of the complete device and is essentially a solid construction in which the body of the device is placed, or which is formed around the body without forming an intimate layer thereon. An enclosure which consists of one or more layers formed on the body and in intimate contact therewith is referred to as an "encapsulation";
    • "integrated circuit" is a device where all components, e.g. diodes or resistors, are built up on a common substrate and form the device including interconnections between the components;
    • "assembly" of a device is the building up of the device from its constructional units; the term covers the provision of fillings in containers.
  • In this subclass, both the process or apparatus for the manufacture or treatment of a device and the device itself are classified, whenever both of these are described sufficiently to be of interest.
  • Attention is drawn to Note (3) after the title of section C, which Note indicates to which version of the Periodic Table of chemical elements the CPC refers. In this subclass, the system used is the 8 group system, indicated by Roman numerals in the Periodic Table thereunder.
WARNINGS

H01L 21/00
Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof [2021-01]
H01L 21/02
.
Manufacture or treatment of semiconductor devices or of parts thereof [2013-01]
H01L 21/02002
. .
{Preparing wafers} [2013-01]
NOTES

  • This group covers processes for manufacturing wafers prior to the fabrication of any device, i.e. between the sawing of ingots (covered by B28D) and the cleaning of substrates (covered by H01L 21/02041 ).
  • This group does not cover:
    • simple use of grinding or polishing machines B24B
    • thermal smoothening H01L 21/324
H01L 21/02005
. . .
{Preparing bulk and homogeneous wafers} [2015-10]
H01L 21/02008
. . . .
{Multistep processes} [2013-01]
H01L 21/0201
. . . . .
{Specific process step} [2013-01]
H01L 21/02013
. . . . . .
{Grinding, lapping} [2013-01]
H01L 21/02016
. . . . . .
{Backside treatment} [2013-01]
H01L 21/02019
. . . . . .
{Chemical etching} [2013-01]
H01L 21/02021
. . . . . .
{Edge treatment, chamfering} [2013-01]
H01L 21/02024
. . . . . .
{Mirror polishing} [2013-01]
H01L 21/02027
. . . .
{Setting crystal orientation} [2013-01]
H01L 21/0203
. . . .
{Making porous regions on the surface} [2013-01]
H01L 21/02032
. . . .
{by reclaiming or re-processing} [2013-01]
H01L 21/02035
. . . .
{Shaping} [2013-01]
H01L 21/02041
. .
{Cleaning} [2013-01]
H01L 21/02043
. . .
{Cleaning before device manufacture, i.e. Begin-Of-Line process} [2013-01]
H01L 21/02046
. . . .
{Dry cleaning only (H01L 21/02085 takes precedence)} [2013-01]
H01L 21/02049
. . . . .
{with gaseous HF} [2013-01]
H01L 21/02052
. . . .
{Wet cleaning only (H01L 21/02085 takes precedence)} [2013-01]
H01L 21/02054
. . . .
{combining dry and wet cleaning steps (H01L 21/02085 takes precedence)} [2013-01]
H01L 21/02057
. . .
{Cleaning during device manufacture} [2013-01]
H01L 21/0206
. . . .
{during, before or after processing of insulating layers} [2013-01]
H01L 21/02063
. . . . .
{the processing being the formation of vias or contact holes} [2013-01]
H01L 21/02065
. . . . .
{the processing being a planarization of insulating layers} [2013-01]
H01L 21/02068
. . . .
{during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers} [2013-01]
H01L 21/02071
. . . . .
{the processing being a delineation, e.g. RIE, of conductive layers} [2013-01]
H01L 21/02074
. . . . .
{the processing being a planarization of conductive layers} [2013-01]
H01L 21/02076
. . .
{Cleaning after the substrates have been singulated} [2013-01]
H01L 21/02079
. . .
{Cleaning for reclaiming} [2013-01]
H01L 21/02082
. . .
{product to be cleaned} [2013-01]
H01L 21/02085
. . . .
{Cleaning of diamond} [2013-01]
H01L 21/02087
. . . .
{Cleaning of wafer edges} [2013-01]
H01L 21/0209
. . . .
{Cleaning of wafer backside} [2013-01]
H01L 21/02093
. . . .
{Cleaning of porous materials} [2013-01]
H01L 21/02096
. . .
{only mechanical cleaning} [2013-01]
H01L 21/02098
. . .
{only involving lasers, e.g. laser ablation} [2013-01]
H01L 21/02101
. . .
{only involving supercritical fluids} [2013-01]
H01L 21/02104
. .
{Forming layers (deposition in general C23C; crystal growth in general C30B)} [2015-10]
WARNING

H01L 21/02107
. . .
{Forming insulating materials on a substrate} [2015-10]
WARNING

H01L 21/02109
. . . .
{characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates} [2013-01]
H01L 21/02112
. . . . .
{characterised by the material of the layer} [2013-01]
NOTE

  • Layers comprising sublayers, i.e. multi-layers, are additionally classified in H01L 21/022; porous layers are additionally classified in H01L 21/02203
H01L 21/02115
. . . . . .
{the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon} [2013-01]
H01L 21/02118
. . . . . .
{carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC (polymers per se C08G, photoresist per se G03F)} [2013-01]
H01L 21/0212
. . . . . . .
{the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene} [2013-01]
H01L 21/02123
. . . . . .
{the material containing silicon} [2013-01]
H01L 21/02126
. . . . . . .
{the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC} [2013-01]
H01L 21/02129
. . . . . . . .
{the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG} [2013-01]
NOTE

  • Halogen, e.g. fluorine, containing BPSG, PSG, BSG, and the like, are additionally classified in H01L 21/02131
H01L 21/02131
. . . . . . . .
{the material being halogen doped silicon oxides, e.g. FSG} [2013-01]
H01L 21/02134
. . . . . . . .
{the material comprising hydrogen silsesquioxane, e.g. HSQ} [2013-01]
H01L 21/02137
. . . . . . . .
{the material comprising alkyl silsesquioxane, e.g. MSQ} [2013-01]
H01L 21/0214
. . . . . . . .
{the material being a silicon oxynitride, e.g. SiON or SiON:H} [2013-01]
H01L 21/02142
. . . . . . .
{the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides} [2013-01]
H01L 21/02145
. . . . . . . .
{the material containing aluminium, e.g. AlSiOx} [2013-01]
H01L 21/02148
. . . . . . . .
{the material containing hafnium, e.g. HfSiOx or HfSiON} [2013-01]
H01L 21/0215
. . . . . . . .
{the material containing tantalum, e.g. TaSiOx} [2013-01]
H01L 21/02153
. . . . . . . .
{the material containing titanium, e.g. TiSiOx} [2013-01]
H01L 21/02156
. . . . . . . .
{the material containing at least one rare earth element, e.g. silicate of lanthanides, scandium or yttrium} [2013-01]
H01L 21/02159
. . . . . . . .
{the material containing zirconium, e.g. ZrSiOx} [2013-01]
H01L 21/02161
. . . . . . . .
{the material containing more than one metal element} [2013-01]
H01L 21/02164
. . . . . . .
{the material being a silicon oxide, e.g. SiO2} [2013-01]
NOTE

  • The formation of silicon oxide layers is classified in this group regardless of the precursor or of the process of formation; in case of explicit statements on doping, on rest-groups, or on material components see H01L 21/02126 and subgroups; deposition of silicon oxide from organic precursors without further statements on film composition is classified here and in H01L 21/02205 and subgroups
H01L 21/02167
. . . . . . .
{the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides (H01L 21/02126 and H01L 21/0214 take precedence)} [2013-01]
H01L 21/0217
. . . . . . .
{the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz (H01L 21/02126 and H01L 21/0214 take precedence)} [2013-01]
H01L 21/02172
. . . . . .
{the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides (materials containing silicon H01L 21/02123; metal silicates H01L 21/02142)} [2013-01]
H01L 21/02175
. . . . . . .
{characterised by the metal (H01L 21/02197 takes precedence)} [2013-01]
H01L 21/02178
. . . . . . . .
{the material containing aluminium, e.g. Al2O3} [2013-01]
H01L 21/02181
. . . . . . . .
{the material containing hafnium, e.g. HfO2} [2013-01]
H01L 21/02183
. . . . . . . .
{the material containing tantalum, e.g. Ta2O5} [2013-01]
H01L 21/02186
. . . . . . . .
{the material containing titanium, e.g. TiO2} [2013-01]
H01L 21/02189
. . . . . . . .
{the material containing zirconium, e.g. ZrO2} [2013-01]
H01L 21/02192
. . . . . . . .
{the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium} [2013-01]
H01L 21/02194
. . . . . . . .
{the material containing more than one metal element} [2013-01]
H01L 21/02197
. . . . . . .
{the material having a perovskite structure, e.g. BaTiO3} [2013-01]
H01L 21/022
. . . . .
{the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides (adhesion layers or buffer layers H01L 21/02304, H01L 21/02362)} [2013-01]
H01L 21/02203
. . . . .
{the layer being porous} [2013-01]
H01L 21/02205
. . . . .
{the layer being characterised by the precursor material for deposition} [2013-01]
H01L 21/02208
. . . . . .
{the precursor containing a compound comprising Si} [2013-01]
H01L 21/02211
. . . . . . .
{the compound being a silane, e.g. disilane, methylsilane or chlorosilane} [2013-01]
H01L 21/02214
. . . . . . .
{the compound comprising silicon and oxygen} [2013-01]
NOTE

  • This group does not cover mixtures of a silane and oxygen
H01L 21/02216
. . . . . . . .
{the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane} [2013-01]
H01L 21/02219
. . . . . . .
{the compound comprising silicon and nitrogen} [2013-01]
NOTE

  • This group does not cover mixtures of silane and nitrogen
H01L 21/02222
. . . . . . . .
{the compound being a silazane} [2013-01]
H01L 21/02225
. . . .
{characterised by the process for the formation of the insulating layer} [2013-01]
H01L 21/02227
. . . . .
{formation by a process other than a deposition process} [2016-05]
NOTE

H01L 21/0223
. . . . . .
{formation by oxidation, e.g. oxidation of the substrate} [2013-01]
H01L 21/02233
. . . . . . .
{of the semiconductor substrate or a semiconductor layer} [2013-01]
H01L 21/02236
. . . . . . . .
{group IV semiconductor} [2013-01]
H01L 21/02238
. . . . . . . . .
{silicon in uncombined form, i.e. pure silicon} [2013-01]
H01L 21/02241
. . . . . . . .
{III-V semiconductor} [2013-01]
H01L 21/02244
. . . . . . .
{of a metallic layer} [2013-01]
H01L 21/02247
. . . . . .
{formation by nitridation, e.g. nitridation of the substrate} [2013-01]
H01L 21/02249
. . . . . .
{formation by combined oxidation and nitridation performed simultaneously} [2013-01]
H01L 21/02252
. . . . . .
{formation by plasma treatment, e.g. plasma oxidation of the substrate (after treatment of an insulating film by plasma H01L 21/3105 and subgroups)} [2013-01]
H01L 21/02255
. . . . . .
{formation by thermal treatment (H01L 21/02252 takes precedence; after treatment of an insulating film H01L 21/3105 and subgroups)} [2013-01]
H01L 21/02258
. . . . . .
{formation by anodic treatment, e.g. anodic oxidation} [2013-01]
H01L 21/0226
. . . . .
{formation by a deposition process (per se C23C)} [2013-01]
H01L 21/02263
. . . . . .
{deposition from the gas or vapour phase} [2013-01]
NOTE

  • This group and subgroups also cover deposition methods in which the gas or vapour is produced by physical means, e.g. ablation from targets or heating of source material
H01L 21/02266
. . . . . . .
{deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition} [2013-01]
H01L 21/02269
. . . . . . .
{deposition by thermal evaporation (H01L 21/02293 takes precedence)} [2013-01]
NOTE

  • Subject matter relating to molecular beam epitaxy is classified in this group
H01L 21/02271
. . . . . . .
{deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition (H01L 21/02266 takes precedence)} [2013-01]
H01L 21/02274
. . . . . . . .
{in the presence of a plasma [PECVD]} [2013-01]
H01L 21/02277
. . . . . . . .
{the reactions being activated by other means than plasma or thermal, e.g. photo-CVD} [2013-01]
H01L 21/0228
. . . . . . . .
{deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD} [2013-01]
NOTE

  • Subject matter relating to cyclic plasma CVD is additionally classified in H01L 21/02274
H01L 21/02282
. . . . . .
{liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating} [2013-01]
H01L 21/02285
. . . . . . .
{Langmuir-Blodgett techniques} [2013-01]
H01L 21/02288
. . . . . . .
{printing, e.g. ink-jet printing (per se B41J)} [2013-01]
H01L 21/0229
. . . . . . .
{liquid atomic layer deposition} [2013-01]
H01L 21/02293
. . . . . .
{formation of epitaxial layers by a deposition process (epitaxial growth per se C30B)} [2013-01]
NOTE

  • Formation of non-epitaxial layers by MBE, ALE, etc. is not covered by this group; for MBE see H01L 21/02269; for ALE see H01L 21/0228
H01L 21/02296
. . . .
{characterised by the treatment performed before or after the formation of the layer (H01L 21/02227 and subgroups take precedence)} [2013-01]
NOTE

  • This group and subgroups only cover processes which are directly linked to the layer formation; routine anneals, i.e. thermal treatment without further features like a special atmosphere, presence of a plasma, thermally induced chemical reactions, change of phase (crystal structure) etc. are not classified here; for cleaning see H01L 21/02041 and subgroups; for etching processes see H01L 21/311 and subgroups; for planarization processes see H01L 21/31051 and subgroups; for processes to repair etch damage see H01L 21/3105 and subgroups
H01L 21/02299
. . . . .
{pre-treatment} [2013-01]
NOTE

  • This group and subgroups cover treatments to improve adhesion or change the surface termination; for etching see H01L 21/306 and subgroups and H01L 21/311 and subgroups
H01L 21/02301
. . . . . .
{in-situ cleaning} [2013-01]
NOTE

  • Subject matter relating to the cleaning processes for semiconductor devices in general is covered by H01L 21/02041 and subgroups
H01L 21/02304
. . . . . .
{formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers} [2013-01]
H01L 21/02307
. . . . . .
{treatment by exposure to a liquid} [2013-01]
H01L 21/0231
. . . . . .
{treatment by exposure to electromagnetic radiation, e.g. UV light} [2013-01]
H01L 21/02312
. . . . . .
{treatment by exposure to a gas or vapour} [2013-01]
H01L 21/02315
. . . . . . .
{treatment by exposure to a plasma} [2013-01]
H01L 21/02318
. . . . .
{post-treatment} [2013-01]
NOTE

  • This group only covers processes that are part of the layer formation; treatments which are performed after completion of the insulating layer are covered by H01L 21/3105 and subgroups
H01L 21/02321
. . . . . .
{introduction of substances into an already existing insulating layer (H01L 21/02227 and subgroups take precedence)} [2013-01]
NOTE

  • processes like the introduction of phosphorus into silicon oxide by diffusion, or doping of an already existing insulating layer are covered by this group and subgroups; for the method of introduction, see H01L 21/02337, H01L 21/02343, H01L 21/02345 and subgroups
H01L 21/02323
. . . . . . .
{introduction of oxygen} [2013-01]
H01L 21/02326
. . . . . . . .
{into a nitride layer, e.g. changing SiN to SiON} [2013-01]
H01L 21/02329
. . . . . . .
{introduction of nitrogen} [2013-01]
H01L 21/02332
. . . . . . . .
{into an oxide layer, e.g. changing SiO to SiON} [2013-01]
H01L 21/02334
. . . . . .
{in-situ cleaning after layer formation, e.g. removing process residues} [2015-07]
NOTE

  • Subject matter relating to the cleaning processes for semiconductor devices in general is covered by H01L 21/02041 and subgroups
H01L 21/02337
. . . . . .
{treatment by exposure to a gas or vapour} [2013-01]
H01L 21/0234
. . . . . . .
{treatment by exposure to a plasma} [2013-01]
H01L 21/02343
. . . . . .
{treatment by exposure to a liquid} [2013-01]
H01L 21/02345
. . . . . .
{treatment by exposure to radiation, e.g. visible light} [2013-01]
H01L 21/02348
. . . . . . .
{treatment by exposure to UV light} [2013-01]
H01L 21/02351
. . . . . . .
{treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions} [2013-01]
H01L 21/02354
. . . . . . .
{using a coherent radiation, e.g. a laser} [2013-01]
H01L 21/02356
. . . . . .
{treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer} [2013-01]
H01L 21/02359
. . . . . .
{treatment to change the surface groups of the insulating layer} [2013-01]
H01L 21/02362
. . . . . .
{formation of intermediate layers, e.g. capping layers or diffusion barriers} [2013-01]
H01L 21/02365
. . .
{Forming inorganic semiconducting materials on a substrate (for light-sensitive devices H01L 31/00)} [2022-08]
WARNINGS

H01L 21/02367
. . . .
{Substrates} [2013-01]
H01L 21/0237
. . . . .
{Materials} [2013-01]
H01L 21/02373
. . . . . .
{Group 14 semiconducting materials} [2013-01]
H01L 21/02376
. . . . . . .
{Carbon, e.g. diamond-like carbon} [2013-01]
H01L 21/02378
. . . . . . .
{Silicon carbide} [2013-01]
H01L 21/02381
. . . . . . .
{Silicon, silicon germanium, germanium} [2013-01]
H01L 21/02384
. . . . . . .
{including tin} [2013-01]
H01L 21/02387
. . . . . .
{Group 13/15 materials} [2013-01]
H01L 21/02389
. . . . . . .
{Nitrides} [2013-01]
H01L 21/02392
. . . . . . .
{Phosphides} [2013-01]
H01L 21/02395
. . . . . . .
{Arsenides} [2013-01]
H01L 21/02398
. . . . . . .
{Antimonides} [2013-01]
H01L 21/024
. . . . . .
{Group 12/16 materials} [2013-01]
H01L 21/02403
. . . . . . .
{Oxides} [2013-01]
H01L 21/02406
. . . . . . .
{Sulfides} [2013-01]
H01L 21/02409
. . . . . . .
{Selenides} [2013-01]
H01L 21/02411
. . . . . . .
{Tellurides} [2013-01]
H01L 21/02414
. . . . . .
{Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds} [2013-01]
H01L 21/02417
. . . . . .
{Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds} [2013-01]
H01L 21/0242
. . . . . .
{Crystalline insulating materials} [2013-01]
H01L 21/02422
. . . . . .
{Non-crystalline insulating materials, e.g. glass, polymers} [2013-01]
H01L 21/02425
. . . . . .
{Conductive materials, e.g. metallic silicides} [2013-01]
H01L 21/02428
. . . . .
{Structure} [2013-01]
H01L 21/0243
. . . . . .
{Surface structure} [2013-01]
H01L 21/02433
. . . . .
{Crystal orientation} [2013-01]
H01L 21/02436
. . . .
{Intermediate layers between substrates and deposited layers} [2013-01]
H01L 21/02439
. . . . .
{Materials} [2013-01]
H01L 21/02441
. . . . . .
{Group 14 semiconducting materials} [2013-01]
H01L 21/02444
. . . . . . .
{Carbon, e.g. diamond-like carbon} [2013-01]
H01L 21/02447
. . . . . . .
{Silicon carbide} [2013-01]
H01L 21/0245
. . . . . . .
{Silicon, silicon germanium, germanium} [2013-01]
H01L 21/02452
. . . . . . .
{including tin} [2013-01]
H01L 21/02455
. . . . . .
{Group 13/15 materials} [2013-01]
H01L 21/02458
. . . . . . .
{Nitrides} [2013-01]
H01L 21/02461
. . . . . . .
{Phosphides} [2013-01]
H01L 21/02463
. . . . . . .
{Arsenides} [2013-01]
H01L 21/02466
. . . . . . .
{Antimonides} [2013-01]
H01L 21/02469
. . . . . .
{Group 12/16 materials} [2013-01]
H01L 21/02472
. . . . . . .
{Oxides} [2013-01]
H01L 21/02474
. . . . . . .
{Sulfides} [2013-01]
H01L 21/02477
. . . . . . .
{Selenides} [2013-01]
H01L 21/0248
. . . . . . .
{Tellurides} [2013-01]
H01L 21/02483
. . . . . .
{Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds} [2013-01]
H01L 21/02485
. . . . . .
{Other chalcogenide semiconducting materials not being oxides, e.g. ternary compounds} [2013-01]
H01L 21/02488
. . . . . .
{Insulating materials} [2013-01]
H01L 21/02491
. . . . . .
{Conductive materials} [2013-01]
H01L 21/02494
. . . . .
{Structure} [2013-01]
H01L 21/02496
. . . . . .
{Layer structure} [2013-01]
H01L 21/02499
. . . . . . .
{Monolayers} [2013-01]
H01L 21/02502
. . . . . . .
{consisting of two layers} [2013-01]
H01L 21/02505
. . . . . . .
{consisting of more than two layers} [2013-01]
H01L 21/02507
. . . . . . . .
{Alternating layers, e.g. superlattice} [2013-01]
H01L 21/0251
. . . . . . .
{Graded layers} [2013-01]
H01L 21/02513
. . . . . .
{Microstructure} [2013-01]
H01L 21/02516
. . . . .
{Crystal orientation} [2013-01]
H01L 21/02518
. . . .
{Deposited layers} [2013-01]
H01L 21/02521
. . . . .
{Materials} [2013-01]
H01L 21/02524
. . . . . .
{Group 14 semiconducting materials} [2013-01]
H01L 21/02527
. . . . . . .
{Carbon, e.g. diamond-like carbon} [2013-01]
H01L 21/02529
. . . . . . .
{Silicon carbide} [2013-01]
H01L 21/02532
. . . . . . .
{Silicon, silicon germanium, germanium} [2013-01]
H01L 21/02535
. . . . . . .
{including tin} [2013-01]
H01L 21/02538
. . . . . .
{Group 13/15 materials} [2013-01]
H01L 21/0254
. . . . . . .
{Nitrides} [2013-01]
H01L 21/02543
. . . . . . .
{Phosphides} [2013-01]
H01L 21/02546
. . . . . . .
{Arsenides} [2013-01]
H01L 21/02549
. . . . . . .
{Antimonides} [2013-01]
H01L 21/02551
. . . . . .
{Group 12/16 materials} [2013-01]
H01L 21/02554
. . . . . . .
{Oxides} [2013-01]
H01L 21/02557
. . . . . . .
{Sulfides} [2013-01]
H01L 21/0256
. . . . . . .
{Selenides} [2013-01]
H01L 21/02562
. . . . . . .
{Tellurides} [2013-01]
H01L 21/02565
. . . . . .
{Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds} [2013-01]
H01L 21/02568
. . . . . .
{Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds} [2013-01]
H01L 21/0257
. . . . .
{Doping during depositing} [2013-01]
H01L 21/02573
. . . . . .
{Conductivity type} [2013-01]
H01L 21/02576
. . . . . . .
{N-type} [2013-01]
H01L 21/02579
. . . . . . .
{P-type} [2013-01]
H01L 21/02581
. . . . . . .
{Transition metal or rare earth elements} [2013-01]
H01L 21/02584
. . . . . .
{Delta-doping} [2013-01]
H01L 21/02587
. . . . .
{Structure} [2013-01]
H01L 21/0259
. . . . . .
{Microstructure} [2013-01]
H01L 21/02592
. . . . . . .
{amorphous} [2013-01]
H01L 21/02595
. . . . . . .
{polycrystalline} [2013-01]
H01L 21/02598
. . . . . . .
{monocrystalline} [2013-01]
H01L 21/02601
. . . . . . .
{Nanoparticles (fullerenes H10K 85/211)} [2023-02]
H01L 21/02603
. . . . . . .
{Nanowires} [2013-01]
H01L 21/02606
. . . . . . .
{Nanotubes (carbon nanotubes H10K 85/211)} [2023-02]
H01L 21/02609
. . . . .
{Crystal orientation} [2013-01]
H01L 21/02612
. . . .
{Formation types} [2013-01]
H01L 21/02614
. . . . .
{Transformation of metal, e.g. oxidation, nitridation} [2013-01]
H01L 21/02617
. . . . .
{Deposition types} [2013-01]
H01L 21/0262
. . . . . .
{Reduction or decomposition of gaseous compounds, e.g. CVD} [2013-01]
H01L 21/02623
. . . . . .
{Liquid deposition} [2013-01]
H01L 21/02625
. . . . . . .
{using melted materials} [2013-01]
H01L 21/02628
. . . . . . .
{using solutions} [2013-01]
H01L 21/02631
. . . . . .
{Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation} [2013-01]
H01L 21/02634
. . . . . .
{Homoepitaxy} [2013-01]
H01L 21/02636
. . . . . .
{Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials} [2013-01]
H01L 21/02639
. . . . . . .
{Preparation of substrate for selective deposition} [2013-01]
H01L 21/02642
. . . . . . . .
{Mask materials other than SiO2 or SiN} [2013-01]
H01L 21/02645
. . . . . . . .
{Seed materials} [2013-01]
H01L 21/02647
. . . . . . .
{Lateral overgrowth} [2013-01]
H01L 21/0265
. . . . . . . .
{Pendeoepitaxy} [2013-01]
H01L 21/02653
. . . . . . .
{Vapour-liquid-solid growth} [2013-01]
H01L 21/02656
. . . .
{Special treatments} [2013-01]
H01L 21/02658
. . . . .
{Pretreatments (cleaning in general H01L 21/02041)} [2013-01]
H01L 21/02661
. . . . . .
{In-situ cleaning} [2013-01]
H01L 21/02664
. . . . .
{Aftertreatments (planarisation in general H01L 21/304)} [2013-01]
H01L 21/02667
. . . . . .
{Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth} [2013-01]
H01L 21/02669
. . . . . . .
{using crystallisation inhibiting elements} [2013-01]
H01L 21/02672
. . . . . . .
{using crystallisation enhancing elements} [2013-01]
H01L 21/02675
. . . . . . .
{using laser beams} [2013-01]
H01L 21/02678
. . . . . . . .
{Beam shaping, e.g. using a mask} [2013-01]
H01L 21/0268
. . . . . . . . .
{Shape of mask} [2013-01]
H01L 21/02683
. . . . . . . .
{Continuous wave laser beam} [2013-01]
H01L 21/02686
. . . . . . . .
{Pulsed laser beam} [2013-01]
H01L 21/02689
. . . . . . .
{using particle beams} [2013-01]
H01L 21/02691
. . . . . . .
{Scanning of a beam} [2013-01]
H01L 21/02694
. . . . . .
{Controlling the interface between substrate and epitaxial layer, e.g. by ion implantation followed by annealing} [2013-01]
H01L 21/02697
. . .
{Forming conducting materials on a substrate} [2013-01]
H01L 21/027
. .
Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L 21/18 or H01L 21/34 {(photographic masks or originals per se G03F 1/00; registration or positioning of photographic masks or originals G03F 9/00; photographic cameras G03B; control of position G05D 3/00)} [2013-01]
H01L 21/0271
. . .
{comprising organic layers} [2013-01]
H01L 21/0272
. . . .
{for lift-off processes} [2013-01]
H01L 21/0273
. . . .
{characterised by the treatment of photoresist layers} [2013-01]
H01L 21/0274
. . . . .
{Photolithographic processes} [2013-01]
H01L 21/0275
. . . . . .
{using lasers} [2013-01]
H01L 21/0276
. . . . . .
{using an anti-reflective coating (anti-reflective coating for lithography in general G03F 7/09)} [2013-01]
H01L 21/0277
. . . . .
{Electrolithographic processes} [2013-01]
H01L 21/0278
. . . . .
{Röntgenlithographic or X-ray lithographic processes} [2013-01]
H01L 21/0279
. . . . .
{Ionlithographic processes} [2013-01]
H01L 21/033
. . .
comprising inorganic layers [2013-01]
H01L 21/0331
. . . .
{for lift-off processes} [2013-01]
H01L 21/0332
. . . .
{characterised by their composition, e.g. multilayer masks, materials} [2013-01]
H01L 21/0334
. . . .
{characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane} [2013-01]
H01L 21/0335
. . . . .
{characterised by their behaviour during the process, e.g. soluble masks, redeposited masks} [2013-01]
H01L 21/0337
. . . . .
{characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment} [2013-01]
H01L 21/0338
. . . . .
{Process specially adapted to improve the resolution of the mask} [2013-01]
H01L 21/04
. .
the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer [2024-01]
H01L 21/0405
. . .
{the devices having semiconductor bodies comprising semiconducting carbon, e.g. diamond, diamond-like carbon (multistep processes for the manufacture of said devices H01L 29/66015)} [2013-01]
NOTE

  • This group covers passivation
H01L 21/041
. . . .
{Making n- or p-doped regions} [2013-01]
H01L 21/0415
. . . . .
{using ion implantation} [2013-01]
H01L 21/042
. . . .
{Changing their shape, e.g. forming recesses (etching of the semiconductor body H01L 21/302)} [2013-01]
H01L 21/0425
. . . .
{Making electrodes} [2013-01]
H01L 21/043
. . . . .
{Ohmic electrodes} [2013-01]
H01L 21/0435
. . . . .
{Schottky electrodes} [2013-01]
H01L 21/044
. . . . .
{Conductor-insulator-semiconductor electrodes} [2013-01]
H01L 21/0445
. . .
{the devices having semiconductor bodies comprising crystalline silicon carbide (multistep processes for the manufacture of said devices H01L 29/66053)} [2013-01]
H01L 21/045
. . . .
{passivating silicon carbide surfaces} [2013-01]
H01L 21/0455
. . . .
{Making n or p doped regions or layers, e.g. using diffusion} [2013-01]
H01L 21/046
. . . . .
{using ion implantation} [2013-01]
NOTE

  • Processes where ion implantation of boron and subsequent annealing does not produce a p-doped region are classified elsewhere, e.g. H01L 21/0445
H01L 21/0465
. . . . . .
{using masks} [2013-01]
H01L 21/047
. . . . . .
{characterised by the angle between the ion beam and the crystal planes or the main crystal surface} [2013-01]
H01L 21/0475
. . . .
{Changing the shape of the semiconductor body, e.g. forming recesses, (etching of the semiconductor body H01L 21/302)} [2013-01]
H01L 21/048
. . . .
{Making electrodes} [2013-01]
H01L 21/0485
. . . . .
{Ohmic electrodes} [2013-01]
H01L 21/049
. . . . .
{Conductor-insulator-semiconductor electrodes, e.g. MIS contacts} [2013-01]
H01L 21/0495
. . . . .
{Schottky electrodes} [2013-01]
H01L 21/06
. . .
the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials [2020-05]
H01L 21/08
. . . .
Preparation of the foundation plate [2013-01]
H01L 21/10
. . . .
Preliminary treatment of the selenium or tellurium, its application to the foundation plate, or the subsequent treatment of the combination [2013-01]
H01L 21/101
. . . . .
{Application of the selenium or tellurium to the foundation plate} [2013-01]
H01L 21/103
. . . . .
Conversion of the selenium or tellurium to the conductive state [2013-01]
H01L 21/105
. . . . .
Treatment of the surface of the selenium or tellurium layer after having been made conductive [2013-01]
H01L 21/108
. . . . .
Provision of discrete insulating layers, i.e. non-genetic barrier layers [2013-01]
H01L 21/12
. . . .
Application of an electrode to the exposed surface of the selenium or tellurium after the selenium or tellurium has been applied to the foundation plate [2013-01]
H01L 21/14
. . . .
Treatment of the complete device, e.g. by electroforming to form a barrier [2013-01]
H01L 21/145
. . . . .
Ageing [2013-01]
H01L 21/16
. . .
the devices having semiconductor bodies comprising cuprous oxide or cuprous iodide [2020-05]
H01L 21/161
. . . .
{Preparation of the foundation plate, preliminary treatment oxidation of the foundation plate, reduction treatment} [2013-01]
H01L 21/162
. . . . .
{Preliminary treatment of the foundation plate} [2013-01]
H01L 21/164
. . . . .
{Oxidation and subsequent heat treatment of the foundation plate (H01L 21/165 takes precedence)} [2013-01]
H01L 21/165
. . . . .
{Reduction of the copper oxide, treatment of the oxide layer} [2013-01]
H01L 21/167
. . . . .
{Application of a non-genetic conductive layer} [2013-01]
H01L 21/168
. . . .
{Treatment of the complete device, e.g. electroforming, ageing} [2013-01]
H01L 21/18
. . .
the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials {(H01L 21/041 - H01L 21/0425, H01L 21/045 - H01L 21/048 take precedence)} [2024-01]
NOTE

  • This group covers also processes and apparatus which, by using the appropriate technology, are clearly suitable for manufacture or treatment of devices whose bodies comprise elements of Group IV of the Periodic Table or AIIIBV compounds, even if the material used is not explicitly specified.
H01L 21/182
. . . .
{Intermixing or interdiffusion or disordering of III-V heterostructures, e.g. IILD} [2013-01]
H01L 21/185
. . . .
{Joining of semiconductor bodies for junction formation} [2013-01]
H01L 21/187
. . . . .
{by direct bonding} [2013-01]
H01L 21/20
. . . .
Deposition of semiconductor materials on a substrate, e.g. epitaxial growth {solid phase epitaxy} [2015-10]
WARNING

H01L 21/2003
. . . . .
{characterised by the substrate} [2022-08]
H01L 21/2007
. . . . . .
{Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer (H01L 21/2011 takes precedence; bonding of semiconductor wafers to semiconductor wafers for junction formation H01L 21/187)} [2013-01]
H01L 21/2011
. . . . . .
{the substrate being of crystalline insulating material, e.g. sapphire} [2013-01]
H01L 21/2015
. . . . . .
{the substrate being of crystalline semiconductor material, e.g. lattice adaptation, heteroepitaxy} [2013-01]
H01L 21/2018
(Frozen)
. . . . .
{Selective epilaxial growth, e.g. simultaneous deposition of mono - and non-mono semiconductor materials} [2022-08]
WARNING

H01L 21/2022
(Frozen)
. . . . .
{Epitaxial regrowth of non-monocrystalline semiconductor materials, e.g. lateral epitaxy by seeded solidification, solid-state crystallization, solid-state graphoepitaxy, explosive crystallization, grain growth in polycrystalline materials} [2022-08]
WARNING

H01L 21/2026
(Frozen)
. . . . . .
{using a coherent energy beam, e.g. laser or electron beam} [2022-08]
WARNING

H01L 21/203
(Frozen)
. . . . .
using physical deposition, e.g. vacuum deposition, sputtering [2022-08]
WARNING

H01L 21/2033
(Frozen)
. . . . . .
{Epitaxial deposition of elements of Group IV of the Periodic System, e.g. Si, Ge} [2022-08]
WARNING

H01L 21/2036
(Frozen)
. . . . . .
{Epitaxial deposition of AIII BV compounds} [2022-08]
WARNING

H01L 21/205
(Frozen)
. . . . .
using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition [2022-08]
WARNING

H01L 21/2053
(Frozen)
. . . . . .
{Expitaxial deposition of elements of Group IV of the Periodic System, e.g. Si, Ge} [2022-08]
WARNING

H01L 21/2056
(Frozen)
. . . . . .
{Epitaxial deposition of AIIIBV compounds} [2022-08]
WARNING

H01L 21/208
(Frozen)
. . . . .
using liquid deposition [2022-08]
WARNING

H01L 21/2085
(Frozen)
. . . . . .
{Epitaxial deposition of AIIIBV compounds} [2022-08]
WARNING

H01L 21/22
. . . .
Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; {Interactions between two or more impurities; Redistribution of impurities} [2013-01]
H01L 21/2205
. . . . .
{from the substrate during epitaxy, e.g. autodoping; Preventing or using autodoping} [2013-01]
H01L 21/221
. . . . .
{of killers} [2013-01]
H01L 21/2215
. . . . . .
{in AIIIBV compounds} [2013-01]
H01L 21/222
. . . . .
{Lithium-drift} [2013-01]
H01L 21/2225
. . . . .
{Diffusion sources} [2013-01]
H01L 21/223
. . . . .
using diffusion into or out of a solid from or into a gaseous phase {(H01L 21/221 - H01L 21/222 take precedence; diffusion through an applied layer H01L 21/225)} [2016-05]
H01L 21/2233
. . . . . .
{Diffusion into or out of AIIIBV compounds} [2013-01]
H01L 21/2236
. . . . . .
{from or into a plasma phase} [2013-01]
H01L 21/225
. . . . .
using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer {(H01L 21/221 - H01L 21/222 take precedence)} [2016-05]
H01L 21/2251
. . . . . .
{Diffusion into or out of group IV semiconductors} [2021-01]
NOTE

  • {In groups H01L 21/2254 - H01L 21/2257 one should consider the main compositional parts of the applied layer just before the diffusion step}
H01L 21/2252
. . . . . . .
{using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase} [2013-01]
H01L 21/2253
. . . . . . . .
{by ion implantation} [2021-01]
H01L 21/2254
. . . . . . .
{from or through or into an applied layer, e.g. photoresist, nitrides} [2013-01]
H01L 21/2255
. . . . . . . .
{the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides} [2013-01]
H01L 21/2256
. . . . . . . . .
{through the applied layer} [2013-01]
H01L 21/2257
. . . . . . . .
{the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon} [2013-01]
H01L 21/2258
. . . . . .
{Diffusion into or out of AIIIBV compounds} [2013-01]
H01L 21/228
. . . . .
using diffusion into or out of a solid from or into a liquid phase, e.g. alloy diffusion processes {(H01L 21/221 - H01L 21/222 take precedence)} [2016-05]
H01L 21/24
. . . .
Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body {(H01L 21/182 takes precedence)} [2013-01]
H01L 21/242
. . . . .
{Alloying of doping materials with AIIIBV compounds} [2013-01]
H01L 21/244
. . . . .
{Alloying of electrode materials} [2013-01]
H01L 21/246
. . . . . .
{with AIIIBV compounds} [2013-01]
H01L 21/248
. . . . .
{Apparatus specially adapted for the alloying} [2013-01]
H01L 21/26
. . . .
Bombardment with radiation {(H01L 21/3105 takes precedence)} [2013-01]
H01L 21/2605
. . . . .
{using natural radiation, e.g. alpha, beta or gamma radiation} [2013-01]
H01L 21/261
. . . . .
to produce a nuclear reaction transmuting chemical elements [2013-01]
H01L 21/263
. . . . .
with high-energy radiation (H01L 21/261 takes precedence) [2013-01]
H01L 21/2633
. . . . . .
{for etching, e.g. sputteretching} [2013-01]
H01L 21/2636
. . . . . .
{for heating, e.g. electron beam heating} [2013-01]
H01L 21/265
. . . . . .
producing ion implantation [2021-01]
H01L 21/26506
. . . . . . .
{in group IV semiconductors} [2013-01]
H01L 21/26513
. . . . . . . .
{of electrically active species} [2013-01]
H01L 21/2652
. . . . . . . . .
{Through-implantation} [2013-01]
H01L 21/26526
. . . . . . . .
{Recoil-implantation} [2013-01]
H01L 21/26533
. . . . . . . .
{of electrically inactive species in silicon to make buried insulating layers} [2013-01]
H01L 21/2654
. . . . . . .
{in AIIIBV compounds} [2013-01]
H01L 21/26546
. . . . . . . .
{of electrically active species} [2013-01]
H01L 21/26553
. . . . . . . . .
{Through-implantation} [2013-01]
H01L 21/2656
. . . . . . . .
{characterised by the implantation of both electrically active and inactive species in the same semiconductor region to be doped} [2013-01]
H01L 21/26566
. . . . . . .
{of a cluster, e.g. using a gas cluster ion beam} [2013-01]
H01L 2021/26573
. . . . . . .
{in diamond} [2013-01]
H01L 21/2658
. . . . . . .
{of a molecular ion, e.g. decaborane} [2013-01]
H01L 21/26586
. . . . . . .
{characterised by the angle between the ion beam and the crystal planes or the main crystal surface} [2013-01]
H01L 21/26593
. . . . . . .
{at a temperature lower than room temperature} [2013-01]
H01L 21/266
. . . . . . .
using masks {(H01L 21/26586 takes precedence)} [2013-01]
H01L 21/268
. . . . . .
using electromagnetic radiation, e.g. laser radiation [2013-01]
H01L 21/2683
. . . . . . .
{using X-ray lasers} [2013-01]
H01L 21/2686
. . . . . . .
{using incoherent radiation} [2013-01]
H01L 21/28
. . . .
Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L 21/20 - H01L 21/268 {(etching for patterning the electrodes H01L 21/311, H01L 21/3213; multistep manufacturing processes for data storage electrodes H01L 29/4011)} [2019-08]
H01L 21/28008
. . . . .
{Making conductor-insulator-semiconductor electrodes} [2013-01]
H01L 21/28017
. . . . . .
{the insulator being formed after the semiconductor body, the semiconductor being silicon} [2013-01]
NOTE

  • This group covers deposition of the insulators, including epitaxial insulators, and the conductors within the same process or chamber
H01L 21/28026
. . . . . . .
{characterised by the conductor (H01L 21/28176 takes precedence)} [2016-05]
NOTE

H01L 21/28035
. . . . . . . .
{the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities (H01L 21/28105 takes precedence)} [2013-01]
NOTE

  • A very thin, e.g. silicon, adhesion or seed layer is not considered as the one next to the insulator
H01L 21/28044
. . . . . . . . .
{the conductor comprising at least another non-silicon conductive layer} [2013-01]
H01L 21/28052
. . . . . . . . . .
{the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer (formed by metal ion implantation H01L 21/28044)} [2013-01]
H01L 21/28061
. . . . . . . . . .
{the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction (H01L 21/28052 takes precedence)} [2022-02]
NOTE

H01L 21/2807
. . . . . . . .
{the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si} [2013-01]
H01L 21/28079
. . . . . . . .
{the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al} [2013-01]
H01L 21/28088
. . . . . . . .
{the final conductor layer next to the insulator being a composite, e.g. TiN} [2013-01]
H01L 21/28097
. . . . . . . .
{the final conductor layer next to the insulator being a metallic silicide} [2013-01]
H01L 21/28105
. . . . . . . .
{the final conductor next to the insulator having a lateral composition or doping variation, or being formed laterally by more than one deposition step} [2013-01]
H01L 21/28114
. . . . . . . .
{characterised by the sectional shape, e.g. T, inverted-T} [2016-05]
NOTE

H01L 21/28123
. . . . . . . .
{Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects} [2013-01]
H01L 21/28132
. . . . . . . . .
{conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating} [2013-01]
H01L 21/28141
. . . . . . . . .
{insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating} [2013-01]
H01L 21/2815
. . . . . . . . .
{part or whole of the electrode is a sidewall spacer or made by a similar technique, e.g. transformation under mask, plating} [2013-01]
H01L 21/28158
. . . . . . .
{Making the insulator} [2013-01]
H01L 21/28167
. . . . . . . .
{on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation} [2013-01]
H01L 21/28176
. . . . . . . . .
{with a treatment, e.g. annealing, after the formation of the definitive gate conductor} [2013-01]
H01L 21/28185
. . . . . . . . .
{with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor} [2013-01]
H01L 21/28194
. . . . . . . . .
{by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition (H01L 21/28202 takes precedence)} [2013-01]
H01L 21/28202
. . . . . . . . .
{in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN} [2013-01]
H01L 21/28211
. . . . . . . . .
{in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer (H01L 21/28194 and H01L 21/28202 take precedence)} [2013-01]
NOTE

  • thin oxidation layers used as a barrier layer or as a buffer layer, e.g. before the fomation of a high-k insulator, are classified here only if important per se
H01L 21/2822
. . . . . . . .
{with substrate doping, e.g. N, Ge, C implantation, before formation of the insulator} [2013-01]
H01L 21/28229
. . . . . . . .
{by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer} [2013-01]
H01L 21/28238
. . . . . . . .
{with sacrificial oxide} [2013-01]
H01L 21/28247
. . . . . . .
{passivation or protection of the electrode, e.g. using re-oxidation} [2013-01]
H01L 21/28255
. . . . . .
{the insulator being formed after the semiconductor body, the semiconductor belonging to Group IV and not being elemental silicon, e.g. Ge, SiGe, SiGeC} [2016-11]
H01L 21/28264
. . . . . .
{the insulator being formed after the semiconductor body, the semiconductor being a III-V compound} [2013-01]
H01L 21/283
. . . . .
Deposition of conductive or insulating materials for electrodes {conducting electric current} [2013-01]
H01L 21/285
. . . . . .
from a gas or vapour, e.g. condensation [2013-01]
H01L 21/28506
. . . . . . .
{of conductive layers} [2013-01]
H01L 21/28512
. . . . . . . .
{on semiconductor bodies comprising elements of Group IV of the Periodic Table} [2024-01]
H01L 21/28518
. . . . . . . . .
{the conductive layers comprising silicides (H01L 21/28537 takes precedence)} [2013-01]
H01L 21/28525
. . . . . . . . .
{the conductive layers comprising semiconducting material (H01L 21/28518, H01L 21/28537 take precedence)} [2013-01]
H01L 21/28531
. . . . . . . . . .
{Making of side-wall contacts} [2013-01]
H01L 21/28537
. . . . . . . . .
{Deposition of Schottky electrodes} [2013-01]
H01L 21/2855
. . . . . . . . .
{by physical means, e.g. sputtering, evaporation (H01L 21/28518 - H01L 21/28537 and H01L 21/28568 take precedence)} [2016-05]
H01L 21/28556
. . . . . . . . .
{by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD (H01L 21/28518 - H01L 21/28537 and H01L 21/28568 take precedence)} [2016-05]
H01L 21/28562
. . . . . . . . . .
{Selective deposition} [2013-01]
H01L 21/28568
. . . . . . . . .
{the conductive layers comprising transition metals (H01L 21/28518 takes precedence)} [2013-01]
H01L 21/28575
. . . . . . . .
{on semiconductor bodies comprising AIIIBV compounds} [2013-01]
H01L 21/28581
. . . . . . . . .
{Deposition of Schottky electrodes} [2013-01]
H01L 21/28587
. . . . . . . . .
{characterised by the sectional shape, e.g. T, inverted T} [2013-01]
H01L 21/28593
. . . . . . . . . .
{asymmetrical sectional shape} [2013-01]
H01L 21/288
. . . . . .
from a liquid, e.g. electrolytic deposition [2013-01]
H01L 21/2885
. . . . . . .
{using an external electrical current, i.e. electro-deposition} [2013-01]
H01L 21/30
. . . .
Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L 21/20 - H01L 21/26 (manufacture of electrodes thereon H01L 21/28) [2016-05]
H01L 21/3003
. . . . .
{Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma} [2013-01]
H01L 21/3006
. . . . . .
{of AIIIBV compounds} [2013-01]
H01L 21/302
. . . . .
to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting [2013-01]
H01L 21/304
. . . . . .
Mechanical treatment, e.g. grinding, polishing, cutting {(H01L 21/30625 takes precedence)} [2013-01]
H01L 21/3043
. . . . . . .
{Making grooves, e.g. cutting} [2013-01]
H01L 21/3046
. . . . . . .
{using blasting, e.g. sand-blasting (H01L 21/2633 takes precedence)} [2013-01]
H01L 21/306
. . . . . .
Chemical or electrical treatment, e.g. electrolytic etching (to form insulating layers H01L 21/31) [2013-01]
H01L 21/30604
. . . . . . .
{Chemical etching} [2013-01]
H01L 21/30608
. . . . . . . .
{Anisotropic liquid etching (H01L 21/3063 takes precedence)} [2013-01]
H01L 21/30612
. . . . . . . .
{Etching of AIIIBV compounds} [2013-01]
H01L 21/30617
. . . . . . . . .
{Anisotropic liquid etching} [2013-01]
H01L 21/30621
. . . . . . . . .
{Vapour phase etching} [2013-01]
H01L 21/30625
. . . . . . .
{With simultaneous mechanical treatment, e.g. mechanico-chemical polishing} [2013-01]
H01L 21/3063
. . . . . . .
Electrolytic etching [2013-01]
H01L 21/30635
. . . . . . . .
{of AIIIBV compounds} [2016-11]
H01L 21/3065
. . . . . . .
Plasma etching; Reactive-ion etching [2013-01]
H01L 21/30655
. . . . . . . .
{comprising alternated and repeated etching and passivation steps, e.g. Bosch process} [2013-01]
H01L 21/308
. . . . . . .
using masks (H01L 21/3063, H01L 21/3065 take precedence) [2013-01]
H01L 21/3081
. . . . . . . .
{characterised by their composition, e.g. multilayer masks, materials} [2013-01]
H01L 21/3083
. . . . . . . .
{characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane} [2013-01]
H01L 21/3085
. . . . . . . . .
{characterised by their behaviour during the process, e.g. soluble masks, redeposited masks} [2013-01]
H01L 21/3086
. . . . . . . . .
{characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment} [2013-01]
H01L 21/3088
. . . . . . . . .
{Process specially adapted to improve the resolution of the mask} [2013-01]
H01L 21/31
. . . . .
to form insulating layers thereon, e.g. for masking or by using photolithographic techniques (encapsulating layers H01L 21/56); After treatment of these layers; Selection of materials for these layers [2021-01]
H01L 21/3105
. . . . . .
After-treatment [2013-01]
H01L 21/31051
. . . . . . .
{Planarisation of the insulating layers (H01L 21/31058 takes precedence)} [2013-01]
H01L 21/31053
. . . . . . . .
{involving a dielectric removal step} [2013-01]
H01L 21/31055
. . . . . . . . .
{the removal being a chemical etching step, e.g. dry etching (etching per se H01L 21/311)} [2013-01]
H01L 21/31056
. . . . . . . . . .
{the removal being a selective chemical etching step, e.g. selective dry etching through a mask} [2013-01]
H01L 21/31058
. . . . . . .
{of organic layers} [2013-01]
H01L 21/311
. . . . . . .
Etching the insulating layers {by chemical or physical means (H01L 21/31058 takes precedence)} [2013-01]
H01L 21/31105
. . . . . . . .
{Etching inorganic layers} [2013-01]
H01L 21/31111
. . . . . . . . .
{by chemical means} [2013-01]
H01L 21/31116
. . . . . . . . . .
{by dry-etching} [2013-01]
H01L 21/31122
. . . . . . . . . . .
{of layers not containing Si, e.g. PZT, Al2O3} [2013-01]
H01L 21/31127
. . . . . . . .
{Etching organic layers} [2013-01]
H01L 21/31133
. . . . . . . . .
{by chemical means} [2013-01]
H01L 21/31138
. . . . . . . . . .
{by dry-etching} [2013-01]
H01L 21/31144
. . . . . . . .
{using masks} [2013-01]
H01L 21/3115
. . . . . . .
Doping the insulating layers [2013-01]
H01L 21/31155
. . . . . . . .
{by ion implantation} [2013-01]
H01L 21/312
(Frozen)
. . . . . .
Organic layers, e.g. photoresist (H01L 21/3105, H01L 21/32 take precedence; {photoresists per se G03C}) [2015-10]
WARNING

H01L 21/3121
(Frozen)
. . . . . . .
{Layers comprising organo-silicon compounds} [2015-10]
H01L 21/3122
(Frozen)
. . . . . . . .
{layers comprising polysiloxane compounds} [2015-10]
H01L 21/3124
(Frozen)
. . . . . . . . .
{layers comprising hydrogen silsesquioxane} [2015-10]
H01L 21/3125
(Frozen)
. . . . . . . .
{layers comprising silazane compounds} [2015-10]
H01L 21/3127
(Frozen)
. . . . . . .
{Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene} [2015-10]
H01L 21/3128
(Frozen)
. . . . . . .
{by Langmuir-Blodgett techniques} [2015-10]
H01L 21/314
(Frozen)
. . . . . .
Inorganic layers (H01L 21/3105, H01L 21/32 take precedence) [2015-10]
WARNING

H01L 21/3141
(Frozen)
. . . . . . .
{Deposition using atomic layer deposition techniques [ALD]} [2015-10]
H01L 21/3142
(Frozen)
. . . . . . . .
{of nano-laminates, e.g. alternating layers of Al203-Hf02} [2015-10]
H01L 21/3143
(Frozen)
. . . . . . .
{composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers} [2015-10]
H01L 21/3144
(Frozen)
. . . . . . . .
{on silicon} [2015-10]
H01L 21/3145
(Frozen)
. . . . . . . .
{formed by deposition from a gas or vapour} [2015-10]
H01L 21/3146
(Frozen)
. . . . . . .
{Carbon layers, e.g. diamond-like layers} [2015-10]
H01L 21/3147
(Frozen)
. . . . . . .
{Epitaxial deposition of insulating materials} [2015-10]
H01L 21/3148
(Frozen)
. . . . . . .
{Silicon Carbide layers} [2015-10]
H01L 2021/3149
(Frozen)
. . . . . . . .
{Langmuir-Blodgett techniques} [2015-10]
H01L 21/316
(Frozen)
. . . . . . .
composed of oxides or glassy oxides or oxide based glass [2015-10]
WARNING

H01L 21/31604
(Frozen)
. . . . . . . .
{Deposition from a gas or vapour (H01L 21/31691, H01L 21/31695 take precedence)} [2015-10]
H01L 21/31608
(Frozen)
. . . . . . . . .
{Deposition of SiO2 (H01L 21/31625, H01L 21/31629 and H01L 21/31633 take precedence)} [2015-10]
H01L 21/31612
(Frozen)
. . . . . . . . . .
{on a silicon body} [2015-10]
H01L 21/31616
(Frozen)
. . . . . . . . .
{Deposition of Al2O3} [2015-10]
H01L 21/3162
(Frozen)
. . . . . . . . . .
{on a silicon body} [2015-10]
H01L 21/31625
(Frozen)
. . . . . . . . .
{Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG} [2015-10]
H01L 21/31629
(Frozen)
. . . . . . . . .
{Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide} [2015-10]
H01L 21/31633
(Frozen)
. . . . . . . . .
{Deposition of carbon doped silicon oxide, e.g. SiOC} [2015-10]
H01L 21/31637
(Frozen)
. . . . . . . . .
{Deposition of Tantalum oxides, e.g. Ta2O5} [2015-10]
H01L 21/31641
(Frozen)
. . . . . . . . .
{Deposition of Zirconium oxides, e.g. ZrO2} [2015-10]
H01L 21/31645
(Frozen)
. . . . . . . . .
{Deposition of Hafnium oxides, e.g. HfO2} [2015-10]
H01L 21/3165
(Frozen)
. . . . . . . .
{formed by oxidation (H01L 21/31691, H01L 21/31695 take precedence)} [2015-10]
H01L 21/31654
(Frozen)
. . . . . . . . .
{of semiconductor materials, e.g. the body itself} [2015-10]
H01L 21/31658
(Frozen)
. . . . . . . . . .
{by thermal oxidation, e.g. of SiGe} [2015-10]
H01L 21/31662
(Frozen)
. . . . . . . . . . .
{of silicon in uncombined form} [2015-10]
H01L 21/31666
(Frozen)
. . . . . . . . . . .
{of AIII BV compounds} [2015-10]
H01L 21/3167
(Frozen)
. . . . . . . . . .
{of anodic oxidation} [2015-10]
H01L 21/31675
(Frozen)
. . . . . . . . . . .
{of silicon} [2015-10]
H01L 21/31679
(Frozen)
. . . . . . . . . . .
{of AIII BV compounds} [2015-10]
H01L 21/31683
(Frozen)
. . . . . . . . .
{of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures} [2015-10]
H01L 21/31687
(Frozen)
. . . . . . . . . .
{by anodic oxidation} [2015-10]
H01L 21/31691
(Frozen)
. . . . . . . .
{with perovskite structure} [2015-10]
H01L 21/31695
(Frozen)
. . . . . . . .
{Deposition of porous oxides or porous glassy oxides or oxide based porous glass} [2015-10]
H01L 21/318
(Frozen)
. . . . . . .
composed of nitrides [2015-10]
WARNING

H01L 21/3185
(Frozen)
. . . . . . . .
{of siliconnitrides} [2015-10]
H01L 21/32
. . . . . .
using masks [2013-01]
H01L 21/3205
. . . . . .
Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers (manufacture of electrodes H01L 21/28) [2013-01]
H01L 21/32051
. . . . . . .
{Deposition of metallic or metal-silicide layers} [2013-01]
H01L 21/32053
. . . . . . . .
{of metal-silicide layers} [2013-01]
H01L 21/32055
. . . . . . .
{Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers} [2013-01]
H01L 21/32056
. . . . . . .
{Deposition of conductive or semi-conductive organic layers (H01L 21/32058 takes precedence)} [2013-01]
H01L 21/32058
. . . . . . .
{Deposition of superconductive layers} [2018-01]
H01L 21/321
. . . . . . .
After treatment [2013-01]
H01L 21/32105
. . . . . . . .
{Oxidation of silicon-containing layers} [2013-01]
H01L 21/3211
. . . . . . . .
{Nitridation of silicon-containing layers} [2013-01]
H01L 21/32115
. . . . . . . .
{Planarisation} [2013-01]
H01L 21/3212
. . . . . . . . .
{by chemical mechanical polishing [CMP]} [2013-01]
H01L 21/32125
. . . . . . . . . .
{by simultaneously passing an electrical current, i.e. electrochemical mechanical polishing, e.g. ECMP} [2013-01]
H01L 21/3213
. . . . . . . .
Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer [2013-01]
H01L 21/32131
. . . . . . . . .
{by physical means only} [2013-01]
H01L 21/32132
. . . . . . . . . .
{of silicon-containing layers} [2013-01]
H01L 21/32133
. . . . . . . . .
{by chemical means only} [2013-01]
H01L 21/32134
. . . . . . . . . .
{by liquid etching only} [2013-01]
H01L 21/32135
. . . . . . . . . .
{by vapour etching only} [2013-01]
H01L 21/32136
. . . . . . . . . . .
{using plasmas} [2013-01]
H01L 21/32137
. . . . . . . . . . . .
{of silicon-containing layers} [2013-01]
H01L 21/32138
. . . . . . . . . . .
{pre- or post-treatments, e.g. anti-corrosion processes} [2013-01]
H01L 21/32139
. . . . . . . . .
{using masks} [2013-01]
H01L 21/3215
. . . . . . . .
Doping the layers [2013-01]
H01L 21/32155
. . . . . . . . .
{Doping polycristalline - or amorphous silicon layers} [2013-01]
H01L 21/322
. . . . .
to modify their internal properties, e.g. to produce internal imperfections [2013-01]
H01L 21/3221
. . . . . .
{of silicon bodies, e.g. for gettering} [2013-01]
H01L 21/3223
. . . . . . .
{using cavities formed by hydrogen or noble gas ion implantation} [2013-01]
H01L 21/3225
. . . . . . .
{Thermally inducing defects using oxygen present in the silicon body for intrinsic gettering (H01L 21/3226 takes precedence)} [2013-01]
NOTE

H01L 21/3226
. . . . . . .
{of silicon on insulator} [2013-01]
H01L 21/3228
. . . . . .
{of AIIIBV compounds, e.g. to make them semi-insulating} [2013-01]
H01L 21/324
. . . . .
Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering (H01L 21/20 - H01L 21/288 and H01L 21/302 - H01L 21/322 take precedence) [2016-05]
H01L 21/3242
. . . . . .
{for the formation of PN junctions without addition of impurities (H01L 21/22 takes precedence)} [2013-01]
H01L 21/3245
. . . . . .
{of AIIIBV compounds} [2016-11]
H01L 21/3247
. . . . . .
{for altering the shape, e.g. smoothing the surface} [2015-10]
WARNING

H01L 21/326
. . . . .
Application of electric currents or fields, e.g. for electroforming (H01L 21/20 - H01L 21/288 and H01L 21/302 - H01L 21/324 take precedence) [2016-05]
H01L 21/34
. . .
the devices having semiconductor bodies not provided for in groups {H01L 21/0405, H01L 21/0445} , H01L 21/06, H01L 21/16 and H01L 21/18 with or without impurities, e.g. doping materials [2013-01]
H01L 21/38
. . . .
Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions [2013-01]
H01L 21/383
. . . . .
using diffusion into or out of a solid from or into a gaseous phase [2013-01]
H01L 21/385
. . . . .
using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer [2013-01]
H01L 21/388
. . . . .
using diffusion into or out of a solid from or into a liquid phase, e.g. alloy diffusion processes [2013-01]
H01L 21/40
. . . .
Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body [2013-01]
H01L 21/42
. . . .
Bombardment with radiation [2013-01]
H01L 21/423
. . . . .
with high-energy radiation [2013-01]
H01L 21/425
. . . . . .
producing ion implantation [2021-01]
H01L 21/426
. . . . . . .
using masks [2013-01]
H01L 21/428
. . . . . .
using electromagnetic radiation, e.g. laser radiation [2013-01]
H01L 21/44
. . . .
Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L 21/38 - H01L 21/428 [2016-05]
H01L 21/441
. . . . .
Deposition of conductive or insulating materials for electrodes [2013-01]
H01L 21/443
. . . . . .
from a gas or vapour, e.g. condensation [2013-01]
H01L 21/445
. . . . . .
from a liquid, e.g. electrolytic deposition [2013-01]
H01L 21/447
. . . . .
involving the application of pressure, e.g. thermo-compression bonding [2013-01]
H01L 21/449
. . . . .
involving the application of mechanical vibrations, e.g. ultrasonic vibrations [2013-01]
H01L 21/46
. . . .
Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L 21/428 (manufacture of electrodes thereon H01L 21/44) [2013-01]
H01L 21/461
. . . . .
to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting [2013-01]
H01L 21/463
. . . . . .
Mechanical treatment, e.g. grinding, ultrasonic treatment [2013-01]
H01L 21/465
. . . . . .
Chemical or electrical treatment, e.g. electrolytic etching (to form insulating layers H01L 21/469) [2013-01]
H01L 21/467
. . . . . . .
using masks [2013-01]
H01L 21/469
. . . . . .
to form insulating layers thereon, e.g. for masking or by using photolithographic techniques (encapsulating layers H01L 21/56); After-treatment of these layers [2021-01]
H01L 21/47
. . . . . . .
Organic layers, e.g. photoresist (H01L 21/475, H01L 21/4757 take precedence) [2017-08]
H01L 21/471
. . . . . . .
Inorganic layers (H01L 21/475, H01L 21/4757 take precedence) [2013-01]
H01L 21/473
. . . . . . . .
composed of oxides or glassy oxides or oxide based glass [2013-01]
H01L 21/475
. . . . . . .
using masks [2013-01]
H01L 21/4757
. . . . . . .
After-treatment [2013-01]
H01L 21/47573
. . . . . . . .
{Etching the layer} [2013-01]
H01L 21/47576
. . . . . . . .
{Doping the layer} [2013-01]
H01L 21/4763
. . . . . .
Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers (manufacture of electrodes H01L 21/28, {H01L 21/44}) [2013-01]
H01L 21/47635
. . . . . . .
{After-treatment of these layers} [2013-01]
H01L 21/477
. . . . .
Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering (H01L 21/38 - H01L 21/449 and H01L 21/461 - H01L 21/475 take precedence) [2016-05]
H01L 21/479
. . . . .
Application of electric currents or fields, e.g. for electroforming (H01L 21/38 - H01L 21/449 and H01L 21/461 - H01L 21/475 take precedence) [2016-05]
H01L 21/48
. . .
Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L 21/06 - H01L 21/326 [2021-01]
NOTE

  • In this group, the expression "treatment" covers also the removal of leads from parts
H01L 21/4803
. . . .
{Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks (H01L 21/4846 takes precedence; printed circuit boards H05K 1/00)} [2013-01]
H01L 21/4807
. . . . .
{Ceramic parts} [2013-01]
H01L 21/481
. . . . .
{Insulating layers on insulating parts, with or without metallisation} [2013-01]
H01L 21/4814
. . . .
{Conductive parts} [2013-01]
H01L 21/4817
. . . . .
{for containers, e.g. caps (H01L 21/4871 takes precedence)} [2013-01]
H01L 21/4821
. . . . .
{Flat leads, e.g. lead frames with or without insulating supports} [2013-01]
H01L 21/4825
. . . . . .
{Connection or disconnection of other leads to or from flat leads, e.g. wires, bumps, other flat leads} [2013-01]
H01L 21/4828
. . . . . .
{Etching (etching for cleaning without patterning H01L 21/4835)} [2013-01]
H01L 21/4832
. . . . . . .
{Etching a temporary substrate after encapsulation process to form leads} [2013-01]
H01L 21/4835
. . . . . .
{Cleaning, e.g. removing of solder} [2013-01]
H01L 21/4839
. . . . . .
{Assembly of a flat lead with an insulating support, e.g. for TAB} [2013-01]
H01L 21/4842
. . . . . .
{Mechanical treatment, e.g. punching, cutting, deforming, cold welding} [2013-01]
H01L 21/4846
. . . . .
{Leads on or in insulating or insulated substrates, e.g. metallisation (H01L 21/4821 takes precedence; metallisation of ceramics in general C04B 41/51; printed circuits H05K 3/00)} [2013-01]
H01L 21/485
. . . . . .
{Adaptation of interconnections, e.g. engineering charges, repair techniques} [2013-01]
H01L 21/4853
. . . . . .
{Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps} [2013-01]
H01L 21/4857
. . . . . .
{Multilayer substrates (multilayer metallisation on monolayer substrate H01L 21/4846)} [2013-01]
H01L 21/486
. . . . . .
{Via connections through the substrate with or without pins} [2013-01]
H01L 21/4864
. . . . . .
{Cleaning, e.g. removing of solder} [2013-01]
H01L 21/4867
. . . . . .
{Applying pastes or inks, e.g. screen printing (H01L 21/486 takes precedence)} [2013-01]
H01L 21/4871
. . . . .
{Bases, plates or heatsinks} [2013-01]
H01L 21/4875
. . . . . .
{Connection or disconnection of other leads to or from bases or plates} [2013-01]
H01L 21/4878
. . . . . .
{Mechanical treatment, e.g. deforming} [2013-01]
H01L 21/4882
. . . . . .
{Assembly of heatsink parts} [2013-01]
H01L 21/4885
. . . . .
{Wire-like parts or pins (wire ball formation B23K 20/00; methods related to connecting semiconductor or other solid state bodies H01L 24/00)} [2019-01]
H01L 21/4889
. . . . . .
{Connection or disconnection of other leads to or from wire-like parts, e.g. wires} [2013-01]
H01L 21/4892
. . . . . .
{Cleaning} [2013-01]
H01L 21/4896
. . . . . .
{Mechanical treatment, e.g. cutting, bending} [2013-01]
H01L 21/50
. . .
Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L 21/06 - H01L 21/326, {e.g. sealing of a cap to a base of a container} [2016-05]
NOTE

  • Arrangements for connecting or disconnecting semiconductor or other solid state bodies, or methods related thereto, other than those arrangements or methods covered by the following subgroups, are covered by H01L 24/00
H01L 21/52
. . . .
Mounting semiconductor bodies in containers [2013-01]
H01L 21/54
. . . .
Providing fillings in containers, e.g. gas fillings [2013-01]
H01L 21/56
. . . .
Encapsulations, e.g. encapsulation layers, coatings [2013-01]
H01L 21/561
. . . . .
{Batch processing} [2013-01]
H01L 21/563
. . . . .
{Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate} [2013-01]
H01L 21/565
. . . . .
{Moulds} [2013-01]
H01L 21/566
. . . . . .
{Release layers for moulds, e.g. release layers, layers against residue during moulding} [2013-01]
H01L 21/568
. . . . .
{Temporary substrate used as encapsulation process aid (H01L 21/4832 and H01L 21/566 take precedence)} [2013-01]
H01L 21/60
. . . .
Attaching {or detaching} leads or other conductive members, to be used for carrying current to or from the device in operation [2021-08]
H01L 2021/60007
. . . . .
{involving a soldering or an alloying process} [2013-01]
H01L 2021/60015
. . . . . .
{using plate connectors, e.g. layer, film} [2013-01]
H01L 2021/60022
. . . . . .
{using bump connectors, e.g. for flip chip mounting} [2013-01]
H01L 2021/6003
. . . . . . .
{Apparatus therefor} [2013-01]
H01L 2021/60037
. . . . . . .
{Right-up bonding} [2013-01]
H01L 2021/60045
. . . . . . .
{Pre-treatment step of the bump connectors prior to bonding} [2013-01]
H01L 2021/60052
. . . . . . . .
{Oxide removing step, e.g. flux, rosin} [2013-01]
H01L 2021/6006
. . . . . . .
{with temporary supporting member not part of an apparatus, e.g. removable coating, film or substrate} [2013-01]
H01L 2021/60067
. . . . . . .
{Aligning the bump connectors with the mounting substrate} [2013-01]
H01L 2021/60075
. . . . . . . .
{involving active alignment, i.e. by apparatus steering, e.g. using alignment marks, sensors} [2013-01]
H01L 2021/60082
. . . . . . . .
{involving passive alignment, e.g. using surface energy, chemical reactions, thermal equilibrium} [2013-01]
H01L 2021/6009
. . . . . . . .
{involving guiding structures, e.g. structures that are left at least partly in the bonded product, spacers} [2013-01]
H01L 2021/60097
. . . . . . .
{Applying energy, e.g. for the soldering or alloying process} [2013-01]
H01L 2021/60105
. . . . . . . .
{using electromagnetic radiation} [2013-01]
H01L 2021/60112
. . . . . . . . .
{Coherent radiation, i.e. laser beam} [2013-01]
H01L 2021/6012
. . . . . . . . .
{Incoherent radiation, e.g. polychromatic heating lamp} [2013-01]
H01L 2021/60127
. . . . . . . . .
{Induction heating, i.e. eddy currents} [2013-01]
H01L 2021/60135
. . . . . . . .
{using convection, e.g. reflow oven} [2013-01]
H01L 2021/60142
. . . . . . . . .
{with a graded temperature profile} [2013-01]
H01L 2021/6015
. . . . . . . .
{using conduction, e.g. chuck heater, thermocompression} [2013-01]
H01L 2021/60157
. . . . . . . . .
{with a graded temperature profile} [2013-01]
H01L 2021/60165
. . . . . . . .
{using an electron beam} [2013-01]
H01L 2021/60172
. . . . . . . .
{using static pressure} [2013-01]
H01L 2021/6018
. . . . . . . . .
{Unidirectional static pressure} [2013-01]
H01L 2021/60187
. . . . . . . . .
{Isostatic pressure, e.g. degassing using vacuum or pressurised liquid} [2013-01]
H01L 2021/60195
. . . . . . . .
{using dynamic pressure, e.g. ultrasonic or thermosonic bonding} [2013-01]
H01L 2021/60202
. . . . . . . .
{using a protective atmosphere, e.g. with forming or shielding gas} [2013-01]
H01L 2021/6021
. . . . . . . .
{using an autocatalytic reaction} [2013-01]
H01L 2021/60217
. . . . . . .
{Detaching bump connectors, e.g. after testing} [2013-01]
H01L 2021/60225
. . . . . . .
{Arrangement of bump connectors prior to mounting} [2013-01]
H01L 2021/60232
. . . . . . . .
{wherein the bump connectors are disposed only on the semiconductor chip} [2013-01]
H01L 2021/6024
. . . . . . . .
{wherein the bump connectors are disposed only on the mounting substrate} [2013-01]
H01L 2021/60247
. . . . . . . .
{wherein the bump connectors are disposed on both the semiconductor chip and the mounting substrate, e.g. bump to bump} [2013-01]
H01L 2021/60255
. . . . . . . .
{wherein the bump connectors are provided as prepeg, e.g. are provided in an insulating plate member} [2013-01]
H01L 2021/60262
. . . . . . . .
{Lateral distribution of bump connectors prior to mounting} [2013-01]
H01L 2021/6027
. . . . . .
{Mounting on semiconductor conductive members} [2013-01]
H01L 2021/60277
. . . . .
{involving the use of conductive adhesives} [2013-01]
H01L 2021/60285
. . . . .
{involving the use of mechanical auxiliary parts without the use of an alloying or soldering process, e.g. pressure contacts} [2022-02]
H01L 2021/60292
. . . . .
{involving the use of an electron or laser beam} [2013-01]
H01L 21/603
. . . . .
involving the application of pressure, e.g. thermo-compression bonding (H01L 21/607 takes precedence) [2021-08]
H01L 21/607
. . . . .
involving the application of mechanical vibrations, e.g. ultrasonic vibrations [2021-08]
H01L 21/62
. .
the devices having no potential barriers [2024-01]
H01L 21/64
.
Manufacture or treatment of solid state devices other than semiconductor devices, or of parts thereof, not peculiar to a single device provided for in groups H01L 31/00 - H10K 99/00 [2023-02]
H01L 21/67
.
Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components {; Apparatus not specifically provided for elsewhere (processes per se H01L 21/30, H01L 21/46, H01L 23/00; simple temporary support means, e.g. using adhesives, electric or magnetic means H01L 21/68, H01L 21/302; apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto H01L 24/74;)} [2017-08]
NOTE

  • In this subgroup the term substrate designates a semiconductor or electric solid state device or component, or a wafer
H01L 21/67005
. .
{Apparatus not specifically provided for elsewhere (processes per se H01L 21/30, H01L 21/46, H01L 23/00; simple temporary support means, e.g. using adhesives, electric or magnetic means H01L 21/68, H01L 21/302)} [2013-01]
H01L 21/67011
. . .
{Apparatus for manufacture or treatment (processes H01L 21/30, H01L 21/46; for production or after-treatment of single crystals or homogeneous polycrystalline material C30B 35/00)} [2013-01]
H01L 21/67017
. . . .
{Apparatus for fluid treatment (H01L 21/67126, H01L 21/6715 take precedence)} [2013-01]
H01L 21/67023
. . . . .
{for general liquid treatment, e.g. etching followed by cleaning} [2013-01]
H01L 21/67028
. . . . .
{for cleaning followed by drying, rinsing, stripping, blasting or the like} [2013-01]
H01L 21/67034
. . . . . .
{for drying} [2013-01]
H01L 21/6704
. . . . . .
{for wet cleaning or washing} [2013-01]
H01L 21/67046
. . . . . . .
{using mainly scrubbing means, e.g. brushes} [2013-01]
H01L 21/67051
. . . . . . .
{using mainly spraying means, e.g. nozzles} [2013-01]
H01L 21/67057
. . . . . . .
{with the semiconductor substrates being dipped in baths or vessels} [2013-01]
H01L 21/67063
. . . . .
{for etching} [2013-01]
H01L 21/67069
. . . . . .
{for drying etching} [2013-01]
H01L 21/67075
. . . . . .
{for wet etching} [2013-01]
H01L 21/6708
. . . . . . .
{using mainly spraying means, e.g. nozzles} [2013-01]
H01L 21/67086
. . . . . . .
{with the semiconductor substrates being dipped in baths or vessels} [2013-01]
H01L 21/67092
. . . .
{Apparatus for mechanical treatment (or grinding or cutting, see the relevant groups in subclasses B24B or B28D)} [2013-01]
H01L 21/67098
. . . .
{Apparatus for thermal treatment} [2013-01]
H01L 21/67103
. . . . .
{mainly by conduction} [2013-01]
H01L 21/67109
. . . . .
{mainly by convection} [2013-01]
H01L 21/67115
. . . . .
{mainly by radiation} [2013-01]
H01L 21/67121
. . . .
{Apparatus for making assemblies not otherwise provided for, e.g. package constructions} [2013-01]
H01L 21/67126
. . . .
{Apparatus for sealing, encapsulating, glassing, decapsulating or the like (processes H01L 23/02, H01L 23/28)} [2013-01]
H01L 21/67132
. . . .
{Apparatus for placing on an insulating substrate, e.g. tape} [2013-01]
H01L 21/67138
. . . .
{Apparatus for wiring semiconductor or solid state device} [2013-01]
H01L 21/67144
. . . .
{Apparatus for mounting on conductive members, e.g. leadframes or conductors on insulating substrates} [2013-01]
H01L 21/6715
. . . .
{Apparatus for applying a liquid, a resin, an ink or the like (H01L 21/67126 takes precedence)} [2013-01]
H01L 21/67155
. . . .
{Apparatus for manufacturing or treating in a plurality of work-stations} [2013-01]
H01L 21/67161
. . . . .
{characterized by the layout of the process chambers} [2013-01]
H01L 21/67167
. . . . . .
{surrounding a central transfer chamber} [2013-01]
H01L 21/67173
. . . . . .
{in-line arrangement} [2013-01]
H01L 21/67178
. . . . . .
{vertical arrangement} [2013-01]
H01L 21/67184
. . . . .
{characterized by the presence of more than one transfer chamber} [2013-01]
H01L 21/6719
. . . . .
{characterized by the construction of the processing chambers, e.g. modular processing chambers} [2013-01]
H01L 21/67196
. . . . .
{characterized by the construction of the transfer chamber} [2013-01]
H01L 21/67201
. . . . .
{characterized by the construction of the load-lock chamber} [2013-01]
H01L 21/67207
. . . . .
{comprising a chamber adapted to a particular process} [2013-01]
H01L 21/67213
. . . . . .
{comprising at least one ion or electron beam chamber (coating by ion implantation C23C; ion or electron beam tubes H01J 37/00)} [2013-01]
H01L 21/67219
. . . . . .
{comprising at least one polishing chamber (polishing apparatuses B24B)} [2013-01]
H01L 21/67225
. . . . . .
{comprising at least one lithography chamber (lithographic apparatuses G03F 7/00)} [2013-01]
H01L 21/6723
. . . . . .
{comprising at least one plating chamber (electroless plating apparatuses C23C, electroplating apparatuses C25D)} [2013-01]
H01L 21/67236
. . . . .
{the substrates being processed being not semiconductor wafers, e.g. leadframes or chips} [2013-01]
H01L 21/67242
. . .
{Apparatus for monitoring, sorting or marking (testing or measuring during manufacture H01L 22/00, marks per se H01L 23/544; testing individual semiconductor devices G01R 31/26)} [2013-01]
H01L 21/67248
. . . .
{Temperature monitoring} [2013-01]
H01L 21/67253
. . . .
{Process monitoring, e.g. flow or thickness monitoring} [2013-01]
H01L 21/67259
. . . .
{Position monitoring, e.g. misposition detection or presence detection} [2013-01]
H01L 21/67265
. . . . .
{of substrates stored in a container, a magazine, a carrier, a boat or the like} [2013-01]
H01L 21/67271
. . . .
{Sorting devices} [2013-01]
H01L 21/67276
. . . .
{Production flow monitoring, e.g. for increasing throughput (program-control systems per se G05B 19/00, e.g. total factory control G05B 19/418)} [2013-01]
H01L 21/67282
. . . .
{Marking devices} [2013-01]
H01L 21/67288
. . . .
{Monitoring of warpage, curvature, damage, defects or the like} [2013-01]
H01L 21/67294
. . . .
{using identification means, e.g. labels on substrates or labels on containers} [2013-01]
H01L 21/673
. .
using specially adapted carriers {or holders; Fixing the workpieces on such carriers or holders (holders for supporting a complete device in operation H01L 23/32)} [2013-01]
H01L 21/67303
. . .
{Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements} [2013-01]
H01L 21/67306
. . . .
{characterized by a material, a roughness, a coating or the like} [2013-01]
H01L 21/67309
. . . .
{characterized by the substrate support} [2013-01]
H01L 21/67313
. . .
{Horizontal boat type carrier whereby the substrates are vertically supported, e.g. comprising rod-shaped elements} [2013-01]
H01L 21/67316
. . . .
{characterized by a material, a roughness, a coating or the like} [2013-01]
H01L 21/6732
. . .
{Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls} [2013-01]
H01L 21/67323
. . . .
{characterized by a material, a roughness, a coating or the like} [2013-01]
H01L 21/67326
. . .
{Horizontal carrier comprising wall type elements whereby the substrates are vertically supported, e.g. comprising sidewalls} [2013-01]
H01L 21/6733
. . . .
{characterized by a material, a roughness, a coating or the like} [2013-01]
H01L 21/67333
. . .
{Trays for chips (magazine for components H05K 13/0084)} [2013-01]
H01L 21/67336
. . . .
{characterized by a material, a roughness, a coating or the like} [2013-01]
H01L 21/6734
. . .
{specially adapted for supporting large square shaped substrates (containers and packaging elements for glass sheets B65D 85/48, transporting of glass products during their manufacture C03B 35/00)} [2013-01]
H01L 21/67343
. . . .
{characterized by a material, a roughness, a coating or the like} [2013-01]
H01L 21/67346
. . .
{characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports} [2013-01]
H01L 21/6735
. . .
{Closed carriers} [2013-01]
H01L 21/67353
. . . .
{specially adapted for a single substrate} [2013-01]
H01L 21/67356
. . . .
{specially adapted for containing chips, dies or ICs} [2013-01]
H01L 21/67359
. . . .
{specially adapted for containing masks, reticles or pellicles} [2013-01]
H01L 21/67363
. . . .
{specially adapted for containing substrates other than wafers (H01L 21/67356, H01L 21/67359 take precedence)} [2013-01]
H01L 21/67366
. . . .
{characterised by materials, roughness, coatings or the like (materials relating to an injection moulding process B29C 45/00; chemical composition of materials C08L 51/00)} [2013-01]
H01L 21/67369
. . . .
{characterised by shock absorbing elements, e.g. retainers or cushions} [2013-01]
H01L 21/67373
. . . .
{characterised by locking systems} [2013-01]
H01L 21/67376
. . . .
{characterised by sealing arrangements} [2013-01]
H01L 21/67379
. . . .
{characterised by coupling elements, kinematic members, handles or elements to be externally gripped} [2013-01]
H01L 21/67383
. . . .
{characterised by substrate supports} [2013-01]
H01L 21/67386
. . . .
{characterised by the construction of the closed carrier} [2013-01]
H01L 21/67389
. . . .
{characterised by atmosphere control} [2013-01]
H01L 21/67393
. . . . .
{characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl} [2013-01]
H01L 21/67396
. . . .
{characterised by the presence of antistatic elements} [2013-01]
H01L 21/677
. .
for conveying, e.g. between different workstations [2013-01]
H01L 21/67703
. . .
{between different workstations} [2015-10]
H01L 21/67706
. . . .
{Mechanical details, e.g. roller, belt (H01L 21/67709 takes precedence)} [2013-01]
H01L 21/67709
. . . .
{using magnetic elements} [2013-01]
H01L 21/67712
. . . .
{the substrate being handled substantially vertically} [2013-01]
H01L 21/67715
. . . .
{Changing the direction of the conveying path} [2013-01]
H01L 21/67718
. . . .
{Changing orientation of the substrate, e.g. from a horizontal position to a vertical position} [2013-01]
H01L 21/67721
. . . .
{the substrates to be conveyed not being semiconductor wafers or large planar substrates, e.g. chips, lead frames (H01L 21/6773 takes precedence)} [2013-01]
H01L 21/67724
. . . .
{by means of a cart or a vehicule} [2013-01]
H01L 21/67727
. . . .
{using a general scheme of a conveying path within a factory} [2013-01]
H01L 21/6773
. . . .
{Conveying cassettes, containers or carriers} [2013-01]
H01L 21/67733
. . . .
{Overhead conveying} [2013-01]
H01L 21/67736
. . . .
{Loading to or unloading from a conveyor} [2013-01]
H01L 21/67739
. . .
{into and out of processing chamber} [2013-01]
H01L 21/67742
. . . .
{Mechanical parts of transfer devices (robots in general in B25J)} [2013-01]
H01L 21/67745
. . . .
{characterized by movements or sequence of movements of transfer devices} [2013-01]
H01L 21/67748
. . . .
{horizontal transfer of a single workpiece} [2013-01]
H01L 21/67751
. . . .
{vertical transfer of a single workpiece} [2013-01]
H01L 21/67754
. . . .
{horizontal transfer of a batch of workpieces} [2013-01]
H01L 21/67757
. . . .
{vertical transfer of a batch of workpieces} [2013-01]
H01L 21/6776
. . . .
{Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers} [2015-10]
H01L 21/67763
. . .
{the wafers being stored in a carrier, involving loading and unloading (H01L 21/6779 takes precedence)} [2013-01]
H01L 21/67766
. . . .
{Mechanical parts of transfer devices (robots in general in B25J)} [2013-01]
H01L 21/67769
. . . .
{Storage means} [2013-01]
H01L 21/67772
. . . .
{involving removal of lid, door, cover} [2013-01]
H01L 21/67775
. . . .
{Docking arrangements} [2013-01]
H01L 21/67778
. . . .
{involving loading and unloading of wafers} [2022-02]
H01L 21/67781
. . . . .
{Batch transfer of wafers} [2013-01]
H01L 21/67784
. . .
{using air tracks} [2013-01]
H01L 21/67787
. . . .
{with angular orientation of the workpieces} [2013-01]
H01L 21/6779
. . . .
{the workpieces being stored in a carrier, involving loading and unloading} [2013-01]
H01L 21/67793
. . .
{with orientating and positioning by means of a vibratory bowl or track} [2013-01]
H01L 21/67796
. . .
{with angular orientation of workpieces (H01L 21/67787 and H01L 21/67793 take precedence)} [2013-01]
H01L 21/68
. .
for positioning, orientation or alignment [2021-01]
H01L 21/681
. . .
{using optical controlling means} [2013-01]
H01L 21/682
. . .
{Mask-wafer alignment (in general G03F 7/70, G03F 9/70)} [2013-01]
H01L 21/683
. .
for supporting or gripping (for conveying H01L 21/677, for positioning, orientation or alignment H01L 21/68) [2013-01]
H01L 21/6831
. . .
{using electrostatic chucks} [2013-01]
H01L 21/6833
. . . .
{Details of electrostatic chucks} [2013-01]
H01L 21/6835
. . .
{using temporarily an auxiliary support} [2013-01]
NOTE

H01L 21/6836
. . . .
{Wafer tapes, e.g. grinding or dicing support tapes (adhesive tapes in general C09J 7/20)} [2018-01]
H01L 21/6838
. . .
{with gripping and holding devices using a vacuum; Bernoulli devices} [2013-01]
H01L 21/687
. . .
using mechanical means, e.g. chucks, clamps or pinches {(using elecrostatic chucks H01L 21/6831)} [2013-01]
H01L 21/68707
. . . .
{the wafers being placed on a robot blade, or gripped by a gripper for conveyance} [2013-01]
H01L 21/68714
. . . .
{the wafers being placed on a susceptor, stage or support} [2013-01]
H01L 21/68721
. . . . .
{characterised by edge clamping, e.g. clamping ring} [2013-01]
H01L 21/68728
. . . . .
{characterised by a plurality of separate clamping members, e.g. clamping fingers} [2013-01]
H01L 21/68735
. . . . .
{characterised by edge profile or support profile} [2013-01]
H01L 21/68742
. . . . .
{characterised by a lifting arrangement, e.g. lift pins} [2013-01]
H01L 21/6875
. . . . .
{characterised by a plurality of individual support members, e.g. support posts or protrusions} [2013-01]
H01L 21/68757
. . . . .
{characterised by a coating or a hardness or a material} [2013-01]
H01L 21/68764
. . . . .
{characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel} [2013-01]
H01L 21/68771
. . . . .
{characterised by supporting more than one semiconductor substrate} [2013-01]
H01L 21/68778
. . . . .
{characterised by supporting substrates others than wafers, e.g. chips} [2013-01]
H01L 21/68785
. . . . .
{characterised by the mechanical construction of the susceptor, stage or support} [2013-01]
H01L 21/68792
. . . . .
{characterised by the construction of the shaft} [2013-01]
H01L 21/70
.
Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof ({multistep manufacturing processes of assemblies consisting of a plurality of individual semiconductor or other solid state devices H01L 25/00; } manufacture of assemblies consisting of preformed electrical components H05K 3/00, H05K 13/00) [2017-08]
H01L 21/702
. .
{of thick-or thin-film circuits or parts thereof} [2013-01]
H01L 21/705
. . .
{of thick-film circuits or parts thereof} [2013-01]
H01L 21/707
. . .
{of thin-film circuits or parts thereof} [2013-01]
H01L 21/71
. .
Manufacture of specific parts of devices defined in group H01L 21/70 ({H01L 21/0405, H01L 21/0445} , H01L 21/28, H01L 21/44, H01L 21/48 take precedence) [2013-01]
H01L 21/74
. . .
Making of {localized} buried regions, e.g. buried collector layers, internal connections {substrate contacts} [2013-01]
H01L 21/743
. . . .
{Making of internal connections, substrate contacts} [2013-01]
H01L 21/746
. . . .
{for AIII-BV integrated circuits} [2013-01]
H01L 21/76
. . .
Making of isolation regions between components [2013-01]
H01L 21/7602
. . . .
{between components manufactured in an active substrate comprising SiC compounds} [2013-01]
H01L 21/7605
. . . .
{between components manufactured in an active substrate comprising AIII BV compounds} [2013-01]
H01L 21/7607
. . . .
{between components manufactured in an active substrate comprising AIIBVI compounds} [2016-11]
H01L 21/761
. . . .
PN junctions [2013-01]
H01L 21/762
. . . .
Dielectric regions {, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers} [2017-08]
H01L 21/76202
. . . . .
{using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO (H01L 21/76235 takes precedence; together with vertical isolation, e.g. LOCOS in a SOI substrate, H01L 21/76264)} [2013-01]
H01L 21/76205
. . . . . .
{in a region being recessed from the surface, e.g. in a recess, groove, tub or trench region} [2013-01]
H01L 21/76208
. . . . . . .
{using auxiliary pillars in the recessed region, e.g. to form LOCOS over extended areas} [2013-01]
H01L 21/7621
. . . . . . .
{the recessed region having a shape other than rectangular, e.g. rounded or oblique shape (H01L 21/76208 takes precedence)} [2013-01]
H01L 21/76213
. . . . . .
{introducing electrical inactive or active impurities in the local oxidation region, e.g. to alter LOCOS oxide growth characteristics or for additional isolation purpose} [2013-01]
H01L 21/76216
. . . . . . .
{introducing electrical active impurities in the local oxidation region for the sole purpose of creating channel stoppers} [2013-01]
H01L 21/76218
. . . . . . . .
{introducing both types of electrical active impurities in the local oxidation region for the sole purpose of creating channel stoppers, e.g. for isolation of complementary doped regions} [2013-01]
H01L 21/76221
. . . . . .
{with a plurality of successive local oxidation steps} [2013-01]
H01L 21/76224
. . . . .
{using trench refilling with dielectric materials (trench filling with polycristalline silicon H01L 21/763; together with vertical isolation, e.g. trench refilling in a SOI substrate H01L 21/76264)} [2013-01]
H01L 21/76227
. . . . . .
{the dielectric materials being obtained by full chemical transformation of non-dielectric materials, such as polycristalline silicon, metals} [2013-01]
H01L 21/76229
. . . . . .
{Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches} [2013-01]
H01L 21/76232
. . . . . .
{of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls (H01L 21/76229 takes precedence)} [2013-01]
H01L 21/76235
. . . . . . .
{trench shape altered by a local oxidation of silicon process step, e.g. trench corner rounding by LOCOS} [2013-01]
H01L 21/76237
. . . . . .
{introducing impurities in trench side or bottom walls, e.g. for forming channel stoppers or alter isolation behavior} [2013-01]
H01L 21/7624
. . . . .
{using semiconductor on insulator [SOI] technology (H01L 21/76297 takes precedence; manufacture of integrated circuits on insulating substrates H01L 21/84; silicon on sapphire [SOS] technology H01L 21/86)} [2013-01]
H01L 21/76243
. . . . . .
{using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques} [2013-01]
H01L 21/76245
. . . . . .
{using full isolation by porous oxide silicon, i.e. FIPOS techniques} [2013-01]
H01L 21/76248
. . . . . .
{using lateral overgrowth techniques, i.e. ELO techniques} [2013-01]
H01L 21/76251
. . . . . .
{using bonding techniques} [2013-01]
H01L 21/76254
. . . . . . .
{with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond} [2013-01]
H01L 21/76256
. . . . . . .
{using silicon etch back techniques, e.g. BESOI, ELTRAN} [2013-01]
H01L 21/76259
. . . . . . .
{with separation/delamination along a porous layer} [2013-01]
H01L 21/76262
. . . . . .
{using selective deposition of single crystal silicon, i.e. SEG techniques} [2013-01]
H01L 21/76264
. . . . . .
{SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands} [2013-01]
H01L 21/76267
. . . . . . .
{Vertical isolation by silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques} [2013-01]
H01L 21/7627
. . . . . . .
{Vertical isolation by full isolation by porous oxide silicon, i.e. FIPOS techniques} [2013-01]
H01L 21/76272
. . . . . . .
{Vertical isolation by lateral overgrowth techniques, i.e. ELO techniques} [2013-01]
H01L 21/76275
. . . . . . .
{Vertical isolation by bonding techniques} [2013-01]
H01L 21/76278
. . . . . . .
{Vertical isolation by selective deposition of single crystal silicon, i.e. SEG techniques} [2013-01]
H01L 21/76281
. . . . . . .
{Lateral isolation by selective oxidation of silicon} [2013-01]
H01L 21/76283
. . . . . . .
{Lateral isolation by refilling of trenches with dielectric material} [2013-01]
H01L 21/76286
. . . . . . .
{Lateral isolation by refilling of trenches with polycristalline material} [2013-01]
H01L 21/76289
. . . . . . .
{Lateral isolation by air gap} [2013-01]
H01L 21/76291
. . . . . . .
{Lateral isolation by field effect} [2013-01]
H01L 21/76294
. . . . .
{using selective deposition of single crystal silicon, i.e. SEG techniques} [2013-01]
H01L 21/76297
. . . . .
{Dielectric isolation using EPIC techniques, i.e. epitaxial passivated integrated circuit} [2013-01]
H01L 21/763
. . . .
Polycrystalline semiconductor regions {(H01L 21/76264 takes precedence)} [2017-08]
H01L 21/764
. . . .
Air gaps {(H01L 21/76264 takes precedence)} [2013-01]
H01L 21/765
. . . .
by field effect {(H01L 21/76264 takes precedence)} [2013-01]
H01L 21/768
. . .
Applying interconnections to be used for carrying current between separate components within a device {comprising conductors and dielectrics} [2016-05]
NOTE

H01L 21/76801
. . . .
{characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing} [2013-01]
H01L 21/76802
. . . . .
{by forming openings in dielectrics} [2013-01]
H01L 21/76804
. . . . . .
{by forming tapered via holes} [2013-01]
H01L 21/76805
. . . . . .
{the opening being a via or contact hole penetrating the underlying conductor} [2013-01]
H01L 21/76807
. . . . . .
{for dual damascene structures} [2013-01]
H01L 21/76808
. . . . . . .
{involving intermediate temporary filling with material} [2013-01]
H01L 21/7681
. . . . . . .
{involving one or more buried masks} [2013-01]
H01L 21/76811
. . . . . . .
{involving multiple stacked pre-patterned masks} [2013-01]
H01L 21/76813
. . . . . . .
{involving a partial via etch} [2013-01]
H01L 21/76814
. . . . . .
{post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors} [2015-10]
H01L 21/76816
. . . . . .
{Aspects relating to the layout of the pattern or to the size of vias or trenches (layout of the interconnections per se H01L 23/528; CAD of ICs G06F 30/00)} [2020-01]
H01L 21/76817
. . . . . .
{using printing or stamping techniques} [2013-01]
H01L 21/76819
. . . . .
{Smoothing of the dielectric (planarisation of insulating materials per se H01L 21/31051)} [2013-01]
H01L 21/7682
. . . . .
{the dielectric comprising air gaps} [2013-01]
H01L 21/76822
. . . . .
{Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.} [2015-10]
H01L 21/76823
. . . . . .
{transforming an insulating layer into a conductive layer} [2013-01]
H01L 21/76825
. . . . . .
{by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc. (plasma treatment H01L 21/76826)} [2013-01]
H01L 21/76826
. . . . . .
{by contacting the layer with gases, liquids or plasmas} [2013-01]
H01L 21/76828
. . . . . .
{thermal treatment} [2013-01]
H01L 21/76829
. . . . .
{characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers} [2013-01]
H01L 21/76831
. . . . . .
{in via holes or trenches, e.g. non-conductive sidewall liners} [2013-01]
H01L 21/76832
. . . . . .
{Multiple layers} [2013-01]
H01L 21/76834
. . . . . .
{formation of thin insulating films on the sidewalls or on top of conductors (H01L 21/76831 takes precedence)} [2013-01]
H01L 21/76835
. . . . .
{Combinations of two or more different dielectric layers having a low dielectric constant (H01L 21/76832 takes precedence)} [2013-01]
H01L 21/76837
. . . . .
{Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics} [2013-01]
H01L 21/76838
. . . .
{characterised by the formation and the after-treatment of the conductors (etching for patterning the conductors H01L 21/3213)} [2013-01]
NOTE

  • When the interconnect is also used as the conductor part of a conductor insulator semiconductor electrode (gate level interconnections), documents are classified in the relevant electrode manufacture groups, e.g. H01L 21/28026
H01L 21/7684
. . . . .
{Smoothing; Planarisation} [2013-01]
H01L 21/76841
. . . . .
{Barrier, adhesion or liner layers} [2013-01]
H01L 21/76843
. . . . . .
{formed in openings in a dielectric} [2013-01]
H01L 21/76844
. . . . . . .
{Bottomless liners} [2013-01]
H01L 21/76846
. . . . . . .
{Layer combinations} [2013-01]
H01L 21/76847
. . . . . . .
{the layer being positioned within the main fill metal} [2013-01]
H01L 21/76849
. . . . . . .
{the layer being positioned on top of the main fill metal} [2013-01]
H01L 21/7685
. . . . . .
{the layer covering a conductive structure (H01L 21/76849 takes precedence)} [2013-01]
H01L 21/76852
. . . . . . .
{the layer also covering the sidewalls of the conductive structure} [2013-01]
H01L 21/76853
. . . . . .
{characterized by particular after-treatment steps} [2013-01]
H01L 21/76855
. . . . . . .
{After-treatment introducing at least one additional element into the layer} [2013-01]
H01L 21/76856
. . . . . . . .
{by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner} [2013-01]
H01L 21/76858
. . . . . . . .
{by diffusing alloying elements} [2013-01]
H01L 21/76859
. . . . . . . .
{by ion implantation} [2013-01]
H01L 21/76861
. . . . . . .
{Post-treatment or after-treatment not introducing additional chemical elements into the layer} [2013-01]
H01L 21/76862
. . . . . . . .
{Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation} [2013-01]
H01L 21/76864
. . . . . . . .
{Thermal treatment} [2013-01]
H01L 21/76865
. . . . . . .
{Selective removal of parts of the layer (H01L 21/76844 takes precedence)} [2013-01]
H01L 21/76867
. . . . . .
{characterized by methods of formation other than PVD, CVD or deposition from a liquids (PVD H01L 21/2855; CVD H01L 21/28556; deposition from liquids H01L 21/288)} [2013-01]
H01L 21/76868
. . . . . .
{Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films} [2013-01]
H01L 21/7687
. . . . . .
{Thin films associated with contacts of capacitors} [2013-01]
H01L 21/76871
. . . . . .
{Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers} [2013-01]
H01L 21/76873
. . . . . . .
{for electroplating} [2013-01]
H01L 21/76874
. . . . . . .
{for electroless plating} [2013-01]
H01L 21/76876
. . . . . . .
{for deposition from the gas phase, e.g. CVD} [2013-01]
H01L 21/76877
. . . . .
{Filling of holes, grooves or trenches, e.g. vias, with conductive material} [2013-01]
H01L 21/76879
. . . . . .
{by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating (plating on semiconductors in general H01L 21/288)} [2013-01]
H01L 21/7688
. . . . . .
{by deposition over sacrificial masking layer, e.g. lift-off (lift-off per se H01L 21/0272)} [2013-01]
H01L 21/76882
. . . . . .
{Reflowing or applying of pressure to better fill the contact hole} [2013-01]
H01L 21/76883
. . . . . .
{Post-treatment or after-treatment of the conductive material} [2013-01]
H01L 21/76885
. . . . .
{By forming conductive members before deposition of protective insulating material, e.g. pillars, studs} [2013-01]
H01L 21/76886
. . . . .
{Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances} [2013-01]
H01L 21/76888
. . . . . .
{By rendering at least a portion of the conductor non conductive, e.g. oxidation} [2013-01]
H01L 21/76889
. . . . . .
{by forming silicides of refractory metals} [2013-01]
H01L 21/76891
. . . . . .
{by using superconducting materials} [2018-01]
H01L 21/76892
. . . . . .
{modifying the pattern} [2013-01]
H01L 21/76894
. . . . . . .
{using a laser, e.g. laser cutting, laser direct writing, laser repair} [2013-01]
H01L 21/76895
. . . . .
{Local interconnects; Local pads, as exemplified by patent document EP0896365} [2013-01]
H01L 21/76897
. . . .
{Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step (self-aligned silicidation on field effect transistors H01L 29/665)} [2013-01]
H01L 21/76898
. . . .
{formed through a semiconductor substrate} [2013-01]
H01L 21/77
. .
Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate (electrically programmable read-only memories or multistep manufacturing processes therefor H10B 69/00) [2023-02]
NOTE

  • Integration processes for the manufacture of devices of the type classified in H01L 27/14, H01L 27/15, H10N 19/00, H10N 39/00, H10N 59/00, H10N 79/00, H10N 89/00, H10K 19/00, H10K 39/00, H10K 59/00 and H10K 65/00 are not classified in this group and its sub-groups. Instead, as they are peculiar to said devices, they are classified together with the devices Multistep processes for manufacturing memory structures in general using field effect technology are covered by H10B 99/00; Multistep processes for manufacturing dynamic random access memory structures are covered by H10B 12/01; Multistep processes for manufacturing static random access memory structures are covered by H10B 10/00; Multistep processes for manufacturing read-only memory structures are covered by H10B 20/00; Multistep processes for manufacturing electrically programmable read-only memory structures are covered by H10B 69/00
H01L 2021/775
. . .
{comprising a plurality of TFTs on a non-semiconducting substrate, e.g. driving circuits for AMLCDs} [2013-01]
H01L 21/78
. . .
with subsequent division of the substrate into plural individual devices (cutting to change the surface-physical characteristics or shape of semiconductor bodies H01L 21/304) [2013-01]
H01L 21/7806
. . . .
{involving the separation of the active layers from a substrate} [2013-01]
H01L 21/7813
. . . . .
{leaving a reusable substrate, e.g. epitaxial lift off} [2013-01]
H01L 21/782
. . . .
to produce devices, each consisting of a single circuit element (H01L 21/82 takes precedence) [2013-01]
H01L 21/784
. . . . .
the substrate being a semiconductor body [2013-01]
H01L 21/786
. . . . .
the substrate being other than a semiconductor body, e.g. insulating body [2013-01]
H01L 21/82
. . . .
to produce devices, e.g. integrated circuits, each consisting of a plurality of components [2013-01]
H01L 21/8206
. . . . .
{the substrate being a semiconductor, using diamond technology (H01L 21/8258 takes precedence)} [2013-01]
H01L 21/8213
. . . . .
{the substrate being a semiconductor, using SiC technology (H01L 21/8258 takes precedence)} [2013-01]
H01L 21/822
. . . . .
the substrate being a semiconductor, using silicon technology (H01L 21/8258 takes precedence) [2013-01]
H01L 21/8221
. . . . . .
{Three dimensional integrated circuits stacked in different levels} [2013-01]
H01L 21/8222
. . . . . .
Bipolar technology [2013-01]
H01L 21/8224
. . . . . . .
comprising a combination of vertical and lateral transistors [2013-01]
H01L 21/8226
. . . . . . .
comprising merged transistor logic or integrated injection logic [2013-01]
H01L 21/8228
. . . . . . .
Complementary devices, e.g. complementary transistors [2013-01]
H01L 21/82285
. . . . . . . .
{Complementary vertical transistors} [2013-01]
H01L 21/8232
. . . . . .
Field-effect technology [2013-01]
H01L 21/8234
. . . . . . .
MIS technology {, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type} [2013-01]
H01L 21/823406
. . . . . . . .
{Combination of charge coupled devices, i.e. CCD, or BBD} [2013-01]
H01L 21/823412
. . . . . . . .
{with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials} [2013-01]
H01L 21/823418
. . . . . . . .
{with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures} [2013-01]
H01L 21/823425
. . . . . . . . .
{manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures} [2013-01]
H01L 21/823431
. . . . . . . .
{with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET} [2013-01]
H01L 21/823437
. . . . . . . .
{with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes} [2013-01]
H01L 21/823443
. . . . . . . . .
{silicided or salicided gate conductors} [2013-01]
H01L 21/82345
. . . . . . . . .
{gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures} [2013-01]
H01L 21/823456
. . . . . . . . .
{gate conductors with different shapes, lengths or dimensions} [2013-01]
H01L 21/823462
. . . . . . . .
{with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants} [2013-01]
H01L 21/823468
. . . . . . . .
{with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape} [2013-01]
H01L 21/823475
. . . . . . . .
{interconnection or wiring or contact manufacturing related aspects} [2013-01]
H01L 21/823481
. . . . . . . .
{isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure} [2013-01]
H01L 21/823487
. . . . . . . .
{with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface (with a current flow parallel to the substrate surface H01L 21/823431)} [2013-01]
H01L 21/823493
. . . . . . . .
{with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]} [2013-01]
H01L 21/8236
. . . . . . . .
Combination of enhancement and depletion transistors [2013-01]
H01L 21/8238
. . . . . . . .
Complementary field-effect transistors, e.g. CMOS [2013-01]
H01L 21/823807
. . . . . . . . .
{with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials} [2013-01]
H01L 21/823814
. . . . . . . . .
{with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures} [2013-01]
H01L 21/823821
. . . . . . . . .
{with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET} [2013-01]
H01L 21/823828
. . . . . . . . .
{with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes} [2013-01]
H01L 21/823835
. . . . . . . . . .
{silicided or salicided gate conductors} [2013-01]
H01L 21/823842
. . . . . . . . . .
{gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures} [2013-01]
H01L 21/82385
. . . . . . . . . .
{gate conductors with different shapes, lengths or dimensions} [2013-01]
H01L 21/823857
. . . . . . . . .
{with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants} [2013-01]
H01L 21/823864
. . . . . . . . .
{with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape} [2013-01]
H01L 21/823871
. . . . . . . . .
{interconnection or wiring or contact manufacturing related aspects} [2013-01]
H01L 21/823878
. . . . . . . . .
{isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure} [2013-01]
H01L 21/823885
. . . . . . . . .
{with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface (with a current flow parallel to the substrate surface H01L 21/823821)} [2013-01]
H01L 21/823892
. . . . . . . . .
{with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]} [2013-01]
H01L 21/8248
. . . . . .
Combination of bipolar and field-effect technology [2013-01]
H01L 21/8249
. . . . . . .
Bipolar and MOS technology [2013-01]
H01L 21/8252
. . . . .
the substrate being a semiconductor, using III-V technology (H01L 21/8258 takes precedence) [2013-01]
H01L 21/8254
. . . . .
the substrate being a semiconductor, using II-VI technology (H01L 21/8258 takes precedence) [2013-01]
H01L 21/8256
. . . . .
the substrate being a semiconductor, using technologies not covered by one of groups {H01L 21/8206, H01L 21/8213} , H01L 21/822, H01L 21/8252 and H01L 21/8254 (H01L 21/8258 takes precedence) [2013-01]
H01L 21/8258
. . . . .
the substrate being a semiconductor, using a combination of technologies covered by {H01L 21/8206, H01L 21/8213} , H01L 21/822, H01L 21/8252, H01L 21/8254 or H01L 21/8256 [2013-01]
H01L 21/84
. . . . .
the substrate being other than a semiconductor body, e.g. being an insulating body [2013-01]
H01L 21/845
. . . . . .
{including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET} [2013-01]
H01L 21/86
. . . . . .
the insulating body being sapphire, e.g. silicon on sapphire structure, i.e. SOS [2013-01]
H01L 22/00
{Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor} [2016-11]
H01L 22/10
.
{Measuring as part of the manufacturing process (burn-in G01R 31/2855)} [2013-01]
H01L 22/12
. .
{for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions (electrical measurement of diffusions H01L 22/14)} [2013-01]
H01L 22/14
. .
{for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means} [2013-01]
H01L 22/20
.
{Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps} [2013-01]
H01L 22/22
. .
{Connection or disconnection of sub-entities or redundant parts of a device in response to a measurement (testing and repair of stores after manufacture including at wafer scale G11C 29/00; fuses per se H01L 23/525)} [2013-01]
H01L 22/24
. .
{Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change (voltage contrast G01R 31/311)} [2013-01]
H01L 22/26
. .
{Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement (endpoint detection arrangements in CMP apparatus B24B 37/013, in discharge apparatus H01J 37/32)} [2013-01]
H01L 22/30
.
{Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements} [2013-01]
H01L 22/32
. .
{Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors (arrangements for conducting electric current to or from the solid state body in operation H01L 23/48)} [2013-01]
H01L 22/34
. .
{Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line (switching, multiplexing, gating devices G01R 19/25; process control with lithography, e.g. dose control, G03F 7/20; structures for alignment control by optical means G03F 7/70633)} [2013-01]
H01L 23/00
Details of semiconductor or other solid state devices (H01L 25/00 takes precedence {; structural arrangements for testing or measuring during manufacture or treatment, or for reliability measurements H01L 22/00; arrangements for connecting or disconnecting semiconductor or solid-state bodies, or methods related thereto H01L 24/00; finger print sensors G06V 40/12}) [2023-02]
NOTE

H01L 23/02
.
Containers; Seals (H01L 23/12, H01L 23/34, H01L 23/48, H01L 23/552, {H01L 23/66} take precedence; {for memories G11C}) [2013-01]
H01L 23/04
. .
characterised by the shape {of the container or parts, e.g. caps, walls} [2013-01]
H01L 23/041
. . .
{the container being a hollow construction having no base used as a mounting for the semiconductor body} [2013-01]
H01L 23/043
. . .
the container being a hollow construction and having a conductive base as a mounting as well as a lead for the semiconductor body [2013-01]
H01L 23/045
. . . .
the other leads having an insulating passage through the base [2013-01]
H01L 23/047
. . . .
the other leads being parallel to the base [2013-01]
H01L 23/049
. . . .
the other leads being perpendicular to the base [2013-01]
H01L 23/051
. . . .
another lead being formed by a cover plate parallel to the base plate, e.g. sandwich type [2013-01]
H01L 23/053
. . .
the container being a hollow construction and having an insulating {or insulated} base as a mounting for the semiconductor body [2013-01]
H01L 23/055
. . . .
the leads having a passage through the base {(H01L 23/057 takes precedence)} [2013-01]
H01L 23/057
. . . .
the leads being parallel to the base [2013-01]
H01L 23/06
. .
characterised by the material of the container or its electrical properties [2013-01]
H01L 23/08
. . .
the material being an electrical insulator, e.g. glass [2013-01]
H01L 23/10
. .
characterised by the material or arrangement of seals between parts, e.g. between cap and base of the container or between leads and walls of the container [2016-08]
H01L 23/12
.
Mountings, e.g. non-detachable insulating substrates [2013-01]
H01L 23/13
. .
characterised by the shape [2013-01]
H01L 23/14
. .
characterised by the material or its electrical properties {(printed circuit boards H05K 1/00)} [2013-01]
H01L 23/142
. . .
{Metallic substrates having insulating layers} [2013-01]
H01L 23/145
. . .
{Organic substrates, e.g. plastic} [2013-01]
H01L 23/147
. . .
{Semiconductor insulating substrates (semiconductor conductive substrates H01L 23/4926)} [2013-01]
H01L 23/15
. . .
Ceramic or glass substrates {(H01L 23/142, H01L 23/145, H01L 23/147 take precedence)} [2013-01]
H01L 23/16
.
Fillings or auxiliary members in containers {or encapsulations}, e.g. centering rings (H01L 23/42, H01L 23/552 take precedence) [2013-01]
H01L 23/18
. .
Fillings characterised by the material, its physical or chemical properties, or its arrangement within the complete device [2016-05]
NOTE

H01L 23/20
. . .
gaseous at the normal operating temperature of the device [2013-01]
H01L 23/22
. . .
liquid at the normal operating temperature of the device [2013-01]
H01L 23/24
. . .
solid or gel at the normal operating temperature of the device {(H01L 23/3135 takes precedence)} [2017-08]
H01L 23/26
. . .
including materials for absorbing or reacting with moisture or other undesired substances {, e.g. getters} [2017-08]
H01L 23/28
.
Encapsulations, e.g. encapsulating layers, coatings, {e.g. for protection}(H01L 23/552 takes precedence; {insulating layers for contacts or interconnections H01L 23/5329}) [2013-01]
H01L 23/29
. .
characterised by the material {, e.g. carbon (interlayer dielectrics H01L 23/5329)} [2016-08]
H01L 23/291
. . .
{Oxides or nitrides or carbides, e.g. ceramics, glass} [2013-01]
H01L 23/293
. . .
{Organic, e.g. plastic} [2013-01]
H01L 23/295
. . . .
{containing a filler (H01L 23/296 takes precedence)} [2013-01]
H01L 23/296
. . . .
{Organo-silicon compounds} [2013-01]
H01L 23/298
. . .
{Semiconductor material, e.g. amorphous silicon} [2013-01]
H01L 23/31
. .
characterised by the arrangement {or shape} [2013-01]
H01L 23/3107
. . .
{the device being completely enclosed} [2013-01]
H01L 23/3114
. . . .
{the device being a chip scale package, e.g. CSP} [2013-01]
H01L 23/3121
. . . .
{a substrate forming part of the encapsulation} [2013-01]
H01L 23/3128
. . . . .
{the substrate having spherical bumps for external connection} [2013-01]
H01L 23/3135
. . . .
{Double encapsulation or coating and encapsulation} [2013-01]
H01L 23/3142
. . . .
{Sealing arrangements between parts, e.g. adhesion promotors} [2013-01]
H01L 23/315
. . . .
{the encapsulation having a cavity} [2013-01]
H01L 23/3157
. . .
{Partial encapsulation or coating (mask layer used as insulation layer H01L 21/31)} [2013-01]
H01L 23/3164
. . . .
{the coating being a foil} [2013-01]
H01L 23/3171
. . . .
{the coating being directly applied to the semiconductor body, e.g. passivation layer (H01L 23/3178 takes precedence)} [2013-01]
H01L 23/3178
. . . .
{Coating or filling in grooves made in the semiconductor body} [2013-01]
H01L 23/3185
. . . .
{the coating covering also the sidewalls of the semiconductor body} [2013-01]
H01L 23/3192
. . . .
{Multilayer coating} [2013-01]
H01L 23/32
.
Holders for supporting the complete device in operation, i.e. detachable fixtures (H01L 23/40 takes precedence) [2021-01]
H01L 23/34
.
Arrangements for cooling, heating, ventilating or temperature compensation {; Temperature sensing arrangements (thermal treatment apparatus H01L 21/00)} [2017-08]
H01L 23/345
. .
{Arrangements for heating (thermal treatment apparatus H01L 21/00)} [2013-01]
H01L 23/36
. .
Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks {(H01L 23/28, H01L 23/40, H01L 23/42, H01L 23/44, H01L 23/46 take precedence; heating H01L 23/345)} [2013-01]
H01L 23/367
. . .
Cooling facilitated by shape of device {(H01L 23/38, H01L 23/40, H01L 23/42, H01L 23/44, H01L 23/46 take precedence)} [2013-01]
H01L 23/3672
. . . .
{Foil-like cooling fins or heat sinks (being part of lead-frames H01L 23/49568)} [2013-01]
H01L 23/3675
. . . .
{characterised by the shape of the housing} [2013-01]
H01L 23/3677
. . . .
{Wire-like or pin-like cooling fins or heat sinks} [2013-01]
H01L 23/373
. . .
Cooling facilitated by selection of materials for the device {or materials for thermal expansion adaptation, e.g. carbon} [2013-01]
H01L 23/3731
. . . .
{Ceramic materials or glass (H01L 23/3732, H01L 23/3733, H01L 23/3735, H01L 23/3737, H01L 23/3738 take precedence)} [2013-01]
H01L 23/3732
. . . .
{Diamonds} [2013-01]
H01L 23/3733
. . . .
{having a heterogeneous or anisotropic structure, e.g. powder or fibres in a matrix, wire mesh, porous structures (H01L 23/3732, H01L 23/3737 take precedence)} [2013-01]
H01L 23/3735
. . . .
{Laminates or multilayers, e.g. direct bond copper ceramic substrates} [2013-01]
H01L 23/3736
. . . .
{Metallic materials (H01L 23/3732, H01L 23/3733, H01L 23/3735, H01L 23/3737, H01L 23/3738 take precedence)} [2013-01]
H01L 23/3737
. . . .
{Organic materials with or without a thermoconductive filler} [2013-01]
H01L 23/3738
. . . .
{Semiconductor materials} [2013-01]
H01L 23/38
. .
Cooling arrangements using the Peltier effect [2013-01]
H01L 23/40
. .
Mountings or securing means for detachable cooling or heating arrangements {(heating H01L 23/345); fixed by friction, plugs or springs} [2013-01]
H01L 23/4006
. . .
{with bolts or screws} [2013-01]
H01L 23/4012
. . . .
{for stacked arrangements of a plurality of semiconductor devices (assemblies per se H01L 25/00)} [2013-01]
H01L 2023/4018
. . . .
{characterised by the type of device to be heated or cooled} [2013-01]
H01L 2023/4025
. . . . .
{Base discrete devices, e.g. presspack, disc-type transistors} [2013-01]
H01L 2023/4031
. . . . .
{Packaged discrete devices, e.g. to-3 housings, diodes} [2013-01]
H01L 2023/4037
. . . .
{characterised by thermal path or place of attachment of heatsink} [2013-01]
H01L 2023/4043
. . . . .
{heatsink to have chip} [2013-01]
H01L 2023/405
. . . . .
{heatsink to package} [2013-01]
H01L 2023/4056
. . . . .
{heatsink to additional heatsink} [2013-01]
H01L 2023/4062
. . . . .
{heatsink to or through board or cabinet} [2013-01]
H01L 2023/4068
. . . . .
{Heatconductors between device and heatsink, e.g. compliant heat-spreaders, heat-conducting bands} [2013-01]
H01L 2023/4075
. . . .
{Mechanical elements} [2013-01]
H01L 2023/4081
. . . . .
{Compliant clamping elements not primarily serving heat-conduction} [2013-01]
H01L 2023/4087
. . . . .
{Mounting accessories, interposers, clamping or screwing parts} [2013-01]
H01L 23/4093
. . .
{Snap-on arrangements, e.g. clips} [2013-01]
H01L 23/42
. .
Fillings or auxiliary members in containers {or encapsulations} selected or arranged to facilitate heating or cooling [2021-01]
H01L 23/427
. . .
Cooling by change of state, e.g. use of heat pipes {(by liquefied gas H01L 23/445)} [2013-01]
H01L 23/4275
. . . .
{by melting or evaporation of solids} [2013-01]
H01L 23/433
. . .
Auxiliary members {in containers} characterised by their shape, e.g. pistons [2013-01]
H01L 23/4332
. . . .
{Bellows} [2013-01]
H01L 23/4334
. . . .
{Auxiliary members in encapsulations (H01L 23/49568 takes precedence)} [2013-01]
H01L 23/4336
. . . .
{in combination with jet impingement} [2013-01]
H01L 23/4338
. . . .
{Pistons, e.g. spring-loaded members} [2013-01]
H01L 23/44
. .
the complete device being wholly immersed in a fluid other than air {(H01L 23/427 takes precedence)} [2013-01]
H01L 23/445
. . .
{the fluid being a liquefied gas, e.g. in a cryogenic vessel} [2013-01]
H01L 23/46
. .
involving the transfer of heat by flowing fluids (H01L 23/42, H01L 23/44 take precedence) [2013-01]
H01L 23/467
. . .
by flowing gases, e.g. air {(H01L 23/473 takes precedence)} [2013-01]
H01L 23/473
. . .
by flowing liquids {(H01L 23/4332, H01L 23/4338 take precedence)} [2013-01]
H01L 23/4735
. . . .
{Jet impingement (H01L 23/4336 takes precedence)} [2013-01]
H01L 23/48
.
Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements {; Selection of materials therefor} [2021-01]
NOTE

  • Arrangements for connecting or disconnecting semiconductor or other solid state bodies, or methods related thereto, other than those arrangements or methods covered by the following subgroups, are covered by H01L 24/00
H01L 23/481
. .
{Internal lead connections, e.g. via connections, feedthrough structures} [2013-01]
H01L 23/482
. .
consisting of lead-in layers inseparably applied to the semiconductor body {(electrodes H01L 29/40)} [2015-10]
H01L 23/4821
. . .
{Bridge structure with air gap} [2013-01]
H01L 23/4822
. . .
{Beam leads} [2013-01]
H01L 23/4824
. . .
{Pads with extended contours, e.g. grid structure, branch structure, finger structure} [2013-01]
H01L 23/4825
. . .
{for devices consisting of semiconductor layers on insulating or semi-insulating substrates, e.g. silicon on sapphire devices, i.e. SOS} [2013-01]
H01L 23/4827
. . .
{Materials} [2013-01]
H01L 23/4828
. . . .
{Conductive organic material or pastes, e.g. conductive adhesives, inks} [2013-01]
H01L 23/485
. . .
consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts {(H01L 23/4821, H01L 23/4822, H01L 23/4824, H01L 23/4825 take precedence; materials H01L 23/532, bond pads H01L 24/02, bump connectors H01L 24/10)} [2015-10]
H01L 23/4855
. . . .
{Overhang structure} [2013-01]
H01L 23/488
. .
consisting of soldered {or bonded} constructions {(bump connectors H01L 24/01)} [2013-01]
H01L 23/49
. . .
wire-like {arrangements or pins or rods (using optical fibres H01L 23/48; pins attached to insulating substrates H01L 23/49811)} [2017-08]
H01L 23/492
. . .
Bases or plates {or solder therefor} [2013-01]
H01L 23/4922
. . . .
{having a heterogeneous or anisotropic structure} [2013-01]
H01L 23/4924
. . . .
{characterised by the materials} [2013-01]
H01L 23/4926
. . . . .
{the materials containing semiconductor material} [2013-01]
H01L 23/4928
. . . . .
{the materials containing carbon} [2013-01]
H01L 23/495
. . .
Lead-frames {or other flat leads (H01L 23/498 takes precedence; lead frame interconnections between components H01L 23/52)} [2013-01]
H01L 23/49503
. . . .
{characterised by the die pad} [2013-01]
H01L 23/49506
. . . . .
{an insulative substrate being used as a diepad, e.g. ceramic, plastic (H01L 23/49531 takes precedence)} [2013-01]
H01L 23/4951
. . . . .
{Chip-on-leads or leads-on-chip techniques, i.e. inner lead fingers being used as die pad} [2013-01]
H01L 23/49513
. . . . .
{having bonding material between chip and die pad} [2013-01]
H01L 23/49517
. . . .
{Additional leads} [2013-01]
H01L 23/4952
. . . . .
{the additional leads being a bump or a wire} [2013-01]
H01L 23/49524
. . . . .
{the additional leads being a tape carrier or flat leads} [2013-01]
H01L 23/49527
. . . . .
{the additional leads being a multilayer} [2013-01]
H01L 23/49531
. . . . .
{the additional leads being a wiring board} [2013-01]
H01L 23/49534
. . . .
{Multi-layer} [2013-01]
H01L 23/49537
. . . .
{Plurality of lead frames mounted in one device} [2013-01]
H01L 23/49541
. . . .
{Geometry of the lead-frame} [2013-01]
H01L 23/49544
. . . . .
{Deformation absorbing parts in the lead frame plane, e.g. meanderline shape (H01L 23/49562 takes precedence)} [2013-01]
H01L 23/49548
. . . . .
{Cross section geometry (H01L 23/49562 takes precedence)} [2013-01]
H01L 23/49551
. . . . . .
{characterised by bent parts} [2013-01]
H01L 23/49555
. . . . . . .
{the bent parts being the outer leads} [2013-01]
H01L 23/49558
. . . . .
{Insulating layers on lead frames, e.g. bridging members} [2013-01]
H01L 23/49562
. . . . .
{for devices being provided for in H01L 29/00} [2013-01]
H01L 23/49565
. . . . .
{Side rails of the lead frame, e.g. with perforations, sprocket holes} [2013-01]
H01L 23/49568
. . . .
{specifically adapted to facilitate heat dissipation} [2013-01]
H01L 23/49572
. . . .
{consisting of thin flexible metallic tape with or without a film carrier (H01L 23/49503 - H01L 23/49568 and H01L 23/49575 - H01L 23/49579 take precedence)} [2016-05]
H01L 23/49575
. . . .
{Assemblies of semiconductor devices on lead frames} [2013-01]
H01L 23/49579
. . . .
{characterised by the materials of the lead frames or layers thereon} [2013-01]
H01L 23/49582
. . . . .
{Metallic layers on lead frames} [2013-01]
H01L 23/49586
. . . . .
{Insulating layers on lead frames} [2013-01]
H01L 23/49589
. . . .
{Capacitor integral with or on the leadframe} [2013-01]
H01L 23/49593
. . . .
{Battery in combination with a leadframe} [2013-01]
H01L 23/49596
. . . .
{Oscillators in combination with lead-frames} [2013-01]
H01L 23/498
. . .
Leads, {i.e. metallisations or lead-frames} on insulating substrates, {e.g. chip carriers (shape of the substrate H01L 23/13)} [2013-01]
H01L 23/49805
. . . .
{the leads being also applied on the sidewalls or the bottom of the substrate, e.g. leadless packages for surface mounting} [2013-01]
H01L 23/49811
. . . .
{Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads (H01L 23/49827 takes precedence)} [2013-01]
H01L 23/49816
. . . . .
{Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]} [2013-01]
H01L 23/49822
. . . .
{Multilayer substrates (multilayer metallisation on monolayer substrate H01L 23/498)} [2013-01]
H01L 23/49827
. . . .
{Via connections through the substrates, e.g. pins going through the substrate, coaxial cables (H01L 23/49822, H01L 23/49833, H01L 23/4985, H01L 23/49861 take precedence)} [2013-01]
H01L 23/49833
. . . .
{the chip support structure consisting of a plurality of insulating substrates} [2013-01]
H01L 23/49838
. . . .
{Geometry or layout} [2013-01]
H01L 23/49844
. . . . .
{for devices being provided for in H01L 29/00} [2013-01]
H01L 23/4985
. . . .
{Flexible insulating substrates (H01L 23/49572 and H01L 23/49855 take precedence)} [2013-01]
H01L 23/49855
. . . .
{for flat-cards, e.g. credit cards (cards per se G06K 19/00)} [2013-01]
H01L 23/49861
. . . .
{Lead-frames fixed on or encapsulated in insulating substrates (H01L 23/4985, H01L 23/49805 take precedence)} [2013-01]
H01L 23/49866
. . . .
{characterised by the materials (materials of the substrates H01L 23/14, of the lead-frames H01L 23/49579)} [2013-01]
H01L 23/49872
. . . . .
{the conductive materials containing semiconductor material} [2013-01]
H01L 23/49877
. . . . .
{Carbon, e.g. fullerenes (superconducting fullerenes H10N 60/853)} [2023-02]
H01L 23/49883
. . . . .
{the conductive materials containing organic materials or pastes, e.g. for thick films (for printed circuits H05K 1/092)} [2013-01]
H01L 23/49888
. . . . .
{the conductive materials containing superconducting material} [2013-01]
H01L 23/49894
. . . . .
{Materials of the insulating layers or coatings} [2013-01]
H01L 23/50
. .
for integrated circuit devices, {e.g. power bus, number of leads}(H01L 23/482 - H01L 23/498 take precedence) [2016-05]
H01L 23/52
.
Arrangements for conducting electric current within the device in operation from one component to another {, i.e. interconnections, e.g. wires, lead frames (optical interconnections G02B 6/00)} [2017-08]
H01L 23/522
. .
including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body [2013-01]
H01L 23/5221
. . .
{Crossover interconnections} [2013-01]
H01L 23/5222
. . .
{Capacitive arrangements or effects of, or between wiring layers (other capacitive arrangements H01L 23/642)} [2013-01]
H01L 23/5223
. . . .
{Capacitor integral with wiring layers} [2013-01]
H01L 23/5225
. . . .
{Shielding layers formed together with wiring layers} [2013-01]
H01L 23/5226
. . .
{Via connections in a multilevel interconnection structure} [2013-01]
H01L 23/5227
. . .
{Inductive arrangements or effects of, or between, wiring layers (other inductive arrangements H01L 23/645)} [2013-01]
H01L 23/5228
. . .
{Resistive arrangements or effects of, or between, wiring layers (other resistive arrangements H01L 23/647)} [2013-01]
H01L 23/525
. . .
with adaptable interconnections [2013-01]
H01L 23/5252
. . . .
{comprising anti-fuses, i.e. connections having their state changed from non-conductive to conductive} [2013-01]
H01L 23/5254
. . . . .
{the change of state resulting from the use of an external beam, e.g. laser beam or ion beam} [2013-01]
H01L 23/5256
. . . .
{comprising fuses, i.e. connections having their state changed from conductive to non-conductive} [2013-01]
H01L 23/5258
. . . . .
{the change of state resulting from the use of an external beam, e.g. laser beam or ion beam} [2013-01]
H01L 23/528
. . .
{Geometry or} layout of the interconnection structure {(H01L 27/0207 takes precedence; algorithms G06F 30/00)} [2020-01]
H01L 23/5283
. . . .
{Cross-sectional geometry} [2013-01]
H01L 23/5286
. . . .
{Arrangements of power or ground buses} [2013-01]
H01L 23/532
. . .
characterised by the materials [2013-01]
H01L 23/53204
. . . .
{Conductive materials} [2013-01]
H01L 23/53209
. . . . .
{based on metals, e.g. alloys, metal silicides (H01L 23/53285 takes precedence)} [2013-01]
H01L 23/53214
. . . . . .
{the principal metal being aluminium} [2013-01]
H01L 23/53219
. . . . . . .
{Aluminium alloys} [2013-01]
H01L 23/53223
. . . . . . .
{Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers} [2013-01]
H01L 23/53228
. . . . . .
{the principal metal being copper} [2013-01]
H01L 23/53233
. . . . . . .
{Copper alloys} [2013-01]
H01L 23/53238
. . . . . . .
{Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers} [2013-01]
H01L 23/53242
. . . . . .
{the principal metal being a noble metal, e.g. gold} [2013-01]
H01L 23/53247
. . . . . . .
{Noble-metal alloys} [2013-01]
H01L 23/53252
. . . . . . .
{Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers} [2013-01]
H01L 23/53257
. . . . . .
{the principal metal being a refractory metal} [2013-01]
H01L 23/53261
. . . . . . .
{Refractory-metal alloys} [2013-01]
H01L 23/53266
. . . . . . .
{Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers} [2013-01]
H01L 23/53271
. . . . .
{containing semiconductor material, e.g. polysilicon} [2013-01]
H01L 23/53276
. . . . .
{containing carbon, e.g. fullerenes (superconducting fullerenes H10N 60/853)} [2023-02]
H01L 23/5328
. . . . .
{containing conductive organic materials or pastes, e.g. conductive adhesives, inks} [2013-01]
H01L 23/53285
. . . . .
{containing superconducting materials} [2013-01]
H01L 23/5329
. . . .
{Insulating materials} [2013-01]
H01L 23/53295
. . . . .
{Stacked insulating layers} [2013-01]
H01L 23/535
. .
including internal interconnections, e.g. cross-under constructions {(internal lead connections H01L 23/481)} [2013-01]
H01L 23/538
. .
the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates ({H05K takes precedence; manufacture or treatment H01L 21/4846} ; mountings per se H01L 23/12; {materials H01L 23/49866}) [2013-01]
H01L 23/5381
. . .
{Crossover interconnections, e.g. bridge stepovers} [2013-01]
H01L 23/5382
. . .
{Adaptable interconnections, e.g. for engineering changes} [2013-01]
H01L 23/5383
. . .
{Multilayer substrates (H01L 23/5385 takes precedence; multilayer metallisation on monolayer substrates H01L 23/538)} [2013-01]
H01L 23/5384
. . .
{Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors (H01L 23/5383, H01L 23/5385 take precedence; pins attached to insulating substrates H01L 23/49811)} [2013-01]
H01L 23/5385
. . .
{Assembly of a plurality of insulating substrates} [2013-01]
H01L 23/5386
. . .
{Geometry or layout of the interconnection structure} [2013-01]
H01L 23/5387
. . .
{Flexible insulating substrates (H01L 23/5388 takes precedence)} [2013-01]
H01L 23/5388
. . .
{for flat cards, e.g. credit cards (cards per se G06K 19/00)} [2013-01]
H01L 23/5389
. . .
{the chips being integrally enclosed by the interconnect and support structures} [2013-01]
H01L 23/544
.
Marks applied to semiconductor devices {or parts}, e.g. registration marks, {alignment structures, wafer maps (test patterns for characterising or monitoring manufacturing processes H01L 22/00)} [2013-01]
NOTE

  • When classifying in group H01L 23/544, details are to be further indexed by using the indexing codes chosen from H01L 2223/544 and subgroups
H01L 23/552
.
Protection against radiation, e.g. light {or electromagnetic waves} [2013-01]
H01L 23/556
. .
against alpha rays [2013-01]
H01L 23/562
.
{Protection against mechanical damage (H01L 23/02, H01L 23/28 take precedence)} [2013-01]
H01L 23/564
.
{Details not otherwise provided for, e.g. protection against moisture (getters H01L 23/26)} [2013-01]
H01L 23/57
.
{Protection from inspection, reverse engineering or tampering} [2013-01]
H01L 23/573
. .
{using passive means} [2013-01]
H01L 23/576
. .
{using active circuits} [2013-01]
H01L 23/58
.
Structural electrical arrangements for semiconductor devices not otherwise provided for {, e.g. in combination with batteries (H01L 23/49593, H01L 23/49596 take precedence)} [2017-08]
H01L 23/585
. .
{comprising conductive layers or plates or strips or rods or rings (H01L 23/60, H01L 23/62, H01L 23/64, H01L 23/66 take precedence)} [2013-01]
H01L 23/60
. .
Protection against electrostatic charges or discharges, e.g. Faraday shields [2021-01]
H01L 23/62
. .
Protection against overvoltage, e.g. fuses, shunts [2013-01]
H01L 23/64
. .
Impedance arrangements [2013-01]
H01L 23/642
. . .
{Capacitive arrangements (H01L 23/49589, H01L 23/645, H01L 23/647, H01L 23/66 take precedence; capacitive effects between wiring layers on the semiconductor body H01L 23/5222)} [2013-01]
H01L 23/645
. . .
{Inductive arrangements (H01L 23/647, H01L 23/66 take precedence)} [2013-01]
H01L 23/647
. . .
{Resistive arrangements (H01L 23/66, H01L 23/62 take precedence)} [2013-01]
H01L 23/66
. . .
High-frequency adaptations [2013-01]
NOTE

  • When classifying in group H01L 23/66, details are to be further indexed by using the indexing codes chosen from H01L 2223/66 and subgroups
H01L 24/00
{Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto} [2023-02]
NOTES

H01L 24/01
.
{Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto} [2015-10]
H01L 24/02
. .
{Bonding areas (on insulating substrates, e.g. chip carriers, H01L 23/49816, H01L 23/49838, H01L 23/5389); Manufacturing methods related thereto} [2022-01]
H01L 24/03
. . .
{Manufacturing methods} [2013-01]
H01L 24/04
. . .
{Structure, shape, material or disposition of the bonding areas prior to the connecting process} [2013-01]
H01L 24/05
. . . .
{of an individual bonding area} [2013-01]
H01L 24/06
. . . .
{of a plurality of bonding areas} [2013-01]
H01L 24/07
. . .
{Structure, shape, material or disposition of the bonding areas after the connecting process} [2013-01]
H01L 24/08
. . . .
{of an individual bonding area} [2013-01]
H01L 24/09
. . . .
{of a plurality of bonding areas} [2013-01]
H01L 24/10
. .
{Bump connectors (bumps on insulating substrates, e.g. chip carriers, H01L 23/49816); Manufacturing methods related thereto} [2013-01]
H01L 24/11
. . .
{Manufacturing methods (for bumps on insulating substrates H01L 21/4853)} [2013-01]
H01L 24/12
. . .
{Structure, shape, material or disposition of the bump connectors prior to the connecting process} [2013-01]
H01L 24/13
. . . .
{of an individual bump connector} [2013-01]
H01L 24/14
. . . .
{of a plurality of bump connectors} [2013-01]
H01L 24/15
. . .
{Structure, shape, material or disposition of the bump connectors after the connecting process} [2013-01]
H01L 24/16
. . . .
{of an individual bump connector} [2013-01]
H01L 24/17
. . . .
{of a plurality of bump connectors} [2013-01]
H01L 24/18
. .
{High density interconnect [HDI] connectors; Manufacturing methods related thereto (interconnection structure between a plurality of semiconductor chips H01L 23/5389)} [2022-01]
H01L 24/19
. . .
{Manufacturing methods of high density interconnect preforms} [2013-01]
H01L 24/20
. . .
{Structure, shape, material or disposition of high density interconnect preforms} [2013-01]
H01L 24/23
. . .
{Structure, shape, material or disposition of the high density interconnect connectors after the connecting process} [2013-01]
H01L 24/24
. . . .
{of an individual high density interconnect connector} [2013-01]
H01L 24/25
. . . .
{of a plurality of high density interconnect connectors} [2013-01]
H01L 24/26
. .
{Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto} [2013-01]
H01L 24/27
. . .
{Manufacturing methods} [2013-01]
H01L 24/28
. . .
{Structure, shape, material or disposition of the layer connectors prior to the connecting process} [2013-01]
H01L 24/29
. . . .
{of an individual layer connector} [2013-01]
H01L 24/30
. . . .
{of a plurality of layer connectors} [2013-01]
H01L 24/31
. . .
{Structure, shape, material or disposition of the layer connectors after the connecting process} [2013-01]
H01L 24/32
. . . .
{of an individual layer connector} [2013-01]
H01L 24/33
. . . .
{of a plurality of layer connectors} [2013-01]
H01L 24/34
. .
{Strap connectors, e.g. copper straps for grounding power devices; Manufacturing methods related thereto} [2022-01]
H01L 24/35
. . .
{Manufacturing methods} [2013-01]
H01L 24/36
. . .
{Structure, shape, material or disposition of the strap connectors prior to the connecting process} [2013-01]
H01L 24/37
. . . .
{of an individual strap connector} [2013-01]
H01L 24/38
. . . .
{of a plurality of strap connectors} [2013-01]
H01L 24/39
. . .
{Structure, shape, material or disposition of the strap connectors after the connecting process} [2013-01]
H01L 24/40
. . . .
{of an individual strap connector} [2013-01]
H01L 24/41
. . . .
{of a plurality of strap connectors} [2013-01]
H01L 24/42
. .
{Wire connectors; Manufacturing methods related thereto} [2013-01]
H01L 24/43
. . .
{Manufacturing methods} [2020-01]
H01L 24/44
. . .
{Structure, shape, material or disposition of the wire connectors prior to the connecting process} [2020-01]
H01L 24/45
. . . .
{of an individual wire connector} [2013-01]
H01L 24/46
. . . .
{of a plurality of wire connectors} [2013-01]
H01L 24/47
. . .
{Structure, shape, material or disposition of the wire connectors after the connecting process} [2020-01]
H01L 24/48
. . . .
{of an individual wire connector} [2015-10]
H01L 24/49
. . . .
{of a plurality of wire connectors} [2015-10]
H01L 24/50
. .
{Tape automated bonding [TAB] connectors, i.e. film carriers; Manufacturing methods related thereto (thin flexible metallic tape with or without a film carrier H01L 23/49572, flexible insulating substrates H01L 23/4985, H01L 23/5387)} [2022-01]
H01L 24/63
. .
{Connectors not provided for in any of the groups H01L 24/10 - H01L 24/50 and subgroups; Manufacturing methods related thereto} [2016-05]
H01L 24/64
. . .
{Manufacturing methods} [2013-01]
H01L 24/65
. . .
{Structure, shape, material or disposition of the connectors prior to the connecting process} [2013-01]
H01L 24/66
. . . .
{of an individual connector} [2013-01]
H01L 24/67
. . . .
{of a plurality of connectors} [2013-01]
H01L 24/68
. . .
{Structure, shape, material or disposition of the connectors after the connecting process} [2013-01]
H01L 24/69
. . . .
{of an individual connector} [2013-01]
H01L 24/70
. . . .
{of a plurality of connectors} [2013-01]
H01L 24/71
.
{Means for bonding not being attached to, or not being formed on, the surface to be connected (holders for supporting the complete device in operation  H01L 23/32)} [2013-01]
H01L 24/72
. .
{Detachable connecting means consisting of mechanical auxiliary parts connecting the device, e.g. pressure contacts using springs or clips} [2013-01]
H01L 24/73
.
{Means for bonding being of different types provided for in two or more of groups H01L 24/10, H01L 24/18, H01L 24/26, H01L 24/34, H01L 24/42, H01L 24/50, H01L 24/63, H01L 24/71} [2013-01]
H01L 24/74
.
{Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies} [2013-01]
H01L 24/741
. .
{Apparatus for manufacturing means for bonding, e.g. connectors} [2013-01]
H01L 24/742
. . .
{Apparatus for manufacturing bump connectors} [2013-01]
H01L 24/743
. . .
{Apparatus for manufacturing layer connectors} [2013-01]
H01L 24/744
. . .
{Apparatus for manufacturing strap connectors} [2013-01]
H01L 24/745
. . .
{Apparatus for manufacturing wire connectors} [2013-01]
H01L 24/75
. .
{Apparatus for connecting with bump connectors or layer connectors} [2013-01]
H01L 24/76
. .
{Apparatus for connecting with build-up interconnects} [2013-01]
H01L 24/77
. .
{Apparatus for connecting with strap connectors} [2013-01]
H01L 24/78
. .
{Apparatus for connecting with wire connectors} [2013-01]
H01L 24/79
. .
{Apparatus for Tape Automated Bonding [TAB]} [2013-01]
H01L 24/799
. .
{Apparatus for disconnecting} [2013-01]
H01L 24/80
.
{Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected} [2015-10]
H01L 24/81
. .
{using a bump connector} [2015-07]
H01L 24/82
. .
{by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI] (interconnection structure between a plurality of semiconductor chips H01L 23/5389)} [2013-01]
H01L 24/83
. .
{using a layer connector} [2013-01]
H01L 24/84
. .
{using a strap connector} [2022-01]
H01L 24/85
. .
{using a wire connector (wire bonding in general B23K 20/004)} [2013-01]
H01L 24/86
. .
{using tape automated bonding [TAB]} [2013-01]
H01L 24/89
. .
{using at least one connector not provided for in any of the groups H01L 24/81 - H01L 24/86} [2016-05]
H01L 24/90
.
{Methods for connecting semiconductor or solid state bodies using means for bonding not being attached to, or not being formed on, the body surface to be connected, e.g. pressure contacts using springs or clips} [2015-10]
H01L 24/91
.
{Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L 24/80 - H01L 24/90} [2016-05]
H01L 24/92
. .
{Specific sequence of method steps} [2013-01]
H01L 24/93
.
{Batch processes} [2022-01]
H01L 24/94
. .
{at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices} [2013-01]
H01L 24/95
. .
{at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips} [2013-01]
H01L 24/96
. . .
{the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting} [2013-01]
H01L 24/97
. . .
{the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting} [2013-01]
H01L 24/98
.
{Methods for disconnecting semiconductor or solid-state bodies} [2013-01]
H01L 25/00
Assemblies consisting of a plurality of individual semiconductor or other solid state devices {; Multistep manufacturing processes thereof}(devices consisting of a plurality of solid state components formed in or on a common substrate H01L 27/00; photovoltaic modules or arrays of photovoltaic cells H01L 31/042 {; panels or arrays of photo electrochemical cells H01G 9/2068}) [2023-02]
NOTE

H01L 25/03
.
all the devices being of a type provided for in the same subgroup of groups H01L 27/00 - H01L 33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes [2023-02]
H01L 25/04
. .
the devices not having separate containers [2023-08]
WARNING

H01L 25/041
. . .
{the devices being of a type provided for in group H01L 31/00} [2013-01]
H01L 25/042
. . . .
{the devices being arranged next to each other (solar cells H01L 31/042)} [2013-01]
H01L 25/043
. . . .
{Stacked arrangements of devices} [2013-01]
H01L 25/065
. . .
the devices being of a type provided for in group H01L 27/00 [2023-08]
NOTE

WARNING

H01L 25/0652
. . . .
{the devices being arranged next and on each other, i.e. mixed assemblies} [2023-08]
WARNING

H01L 25/0655
. . . .
{the devices being arranged next to each other} [2023-08]
WARNING

H01L 25/0657
. . . .
{Stacked arrangements of devices} [2023-08]
WARNING

H01L 25/07
. . .
the devices being of a type provided for in group H01L 29/00 [2016-05]
NOTE

H01L 25/071
. . . .
{the devices being arranged next and on each other, i.e. mixed assemblies} [2013-01]
H01L 25/072
. . . .
{the devices being arranged next to each other} [2013-01]
H01L 25/073
. . . .
{Apertured devices mounted on one or more rods passed through the apertures} [2013-01]
H01L 25/074
. . . .
{Stacked arrangements of non-apertured devices} [2013-01]
H01L 25/075
. . .
the devices being of a type provided for in group H01L 33/00 [2013-01]
H01L 25/0753
. . . .
{the devices being arranged next to each other} [2013-01]
H01L 25/0756
. . . .
{Stacked arrangements of devices} [2013-01]
H01L 25/10
. .
the devices having separate containers [2013-01]
H01L 25/105
. . .
{the devices being of a type provided for in group H01L 27/00} [2013-01]
NOTE

  • When classifying in group H01L 25/105, details of the assemblies are to be further indexed by using the indexing codes chosen from H01L 2225/1005 and subgroups
H01L 25/11
. . .
the devices being of a type provided for in group H01L 29/00 [2013-01]
NOTE

H01L 25/112
. . . .
{Mixed assemblies} [2013-01]
H01L 25/115
. . . .
{the devices being arranged next to each other} [2013-01]
H01L 25/117
. . . .
{Stacked arrangements of devices} [2013-01]
H01L 25/13
. . .
the devices being of a type provided for in group H01L 33/00 [2013-01]
H01L 25/16
.
the devices being of types provided for in two or more different main groups of groups H01L 27/00 - H01L 33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits [2023-08]
WARNING

H01L 25/162
. .
{the devices being mounted on two or more different substrates} [2023-08]
WARNING

H01L 25/165
. .
{Containers} [2023-08]
WARNING

H01L 25/167
. .
{comprising optoelectronic devices, e.g. LED, photodiodes} [2023-08]
WARNING

H01L 25/18
.
the devices being of types provided for in two or more different subgroups of the same main group of groups H01L 27/00 - H01L 33/00, or in a single subclass of H10K, H10N [2023-08]
WARNING

H01L 25/50
.
{Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L 27/00 or H01L 29/00 (H01L 21/50 takes precedence)} [2013-01]
H01L 27/00
Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate (details thereof H01L 23/00, H01L 29/00 - H10K 10/00; assemblies consisting of a plurality of individual solid state devices H01L 25/00) [2023-02]
NOTE

  • In this group the last place priority rule is applied, i.e. at each hierarchical level, in the absence of an indication to the contrary, classification is made in the last appropriate place.
H01L 27/01
.
comprising only passive thin-film or thick-film elements formed on a common insulating substrate {(passive two-terminal components without a potential-jump or surface barrier for integrated circuits, details thereof and multistep manufacturing processes therefor H01L 28/00)} [2023-02]
H01L 27/013
. .
{Thick-film circuits} [2013-01]
H01L 27/016
. .
{Thin-film circuits} [2013-01]
H01L 27/02
.
including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers [2024-01]
H01L 27/0203
. .
{Particular design considerations for integrated circuits} [2013-01]
H01L 27/0207
. . .
{Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique} [2013-01]
H01L 27/0211
. . . .
{adapted for requirements of temperature} [2017-01]
H01L 27/0214
. . .
{for internal polarisation, e.g. I2L} [2013-01]
H01L 27/0218
. . . .
{of field effect structures} [2013-01]
H01L 27/0222
. . . . .
{Charge pumping, substrate bias generation structures} [2017-01]
H01L 27/0225
. . . . .
{Charge injection in static induction transistor logic structures [SITL]} [2017-01]
H01L 27/0229
. . . .
{of bipolar structures} [2013-01]
H01L 27/0233
. . . . .
{Integrated injection logic structures [I2L]} [2017-01]
H01L 27/0237
. . . . . .
{using vertical injector structures} [2013-01]
H01L 27/024
. . . . . .
{using field effect injector structures} [2013-01]
H01L 27/0244
. . . . . .
{I2L structures integrated in combination with analog structures} [2013-01]
H01L 27/0248
. . .
{for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection} [2017-01]
H01L 27/0251
. . . .
{for MOS devices} [2013-01]
H01L 27/0255
. . . . .
{using diodes as protective elements} [2017-01]
H01L 27/0259
. . . . .
{using bipolar transistors as protective elements} [2013-01]
H01L 27/0262
. . . . . .
{including a PNP transistor and a NPN transistor, wherein each of said transistors has its base coupled to the collector of the other transistor, e.g. silicon controlled rectifier [SCR] devices} [2013-01]
H01L 27/0266
. . . . .
{using field effect transistors as protective elements} [2013-01]
H01L 27/027
. . . . . .
{specially adapted to provide an electrical current path other than the field effect induced current path} [2013-01]
H01L 27/0274
. . . . . . .
{involving a parasitic bipolar transistor triggered by the electrical biasing of the gate electrode of the field effect transistor, e.g. gate coupled transistors} [2013-01]
H01L 27/0277
. . . . . . .
{involving a parasitic bipolar transistor triggered by the local electrical biasing of the layer acting as base of said parasitic bipolar transistor} [2013-01]
H01L 27/0281
. . . . . .
{field effect transistors in a "Darlington-like" configuration} [2013-01]
H01L 27/0285
. . . . . .
{bias arrangements for gate electrode of field effect transistors, e.g. RC networks, voltage partitioning circuits (H01L 27/0281 takes precedence)} [2013-01]
H01L 27/0288
. . . . .
{using passive elements as protective elements, e.g. resistors, capacitors, inductors, spark-gaps} [2013-01]
H01L 27/0292
. . . . .
{using a specific configuration of the conducting means connecting the protective devices, e.g. ESD buses} [2013-01]
H01L 27/0296
. . . . .
{involving a specific disposition of the protective devices} [2013-01]
H01L 27/04
. .
the substrate being a semiconductor body [2013-01]
H01L 27/06
. . .
including a plurality of individual components in a non-repetitive configuration [2013-01]
H01L 27/0605
. . . .
{integrated circuits made of compound material, e.g. AIIIBV} [2013-01]
H01L 27/0611
. . . .
{integrated circuits having a two-dimensional layout of components without a common active region} [2013-01]
H01L 27/0617
. . . . .
{comprising components of the field-effect type (H01L 27/0251 takes precedence)} [2013-01]
H01L 27/0623
. . . . . .
{in combination with bipolar transistors} [2013-01]
H01L 27/0629
. . . . . .
{in combination with diodes, or resistors, or capacitors} [2013-01]
H01L 27/0635
. . . . . .
{in combination with bipolar transistors and diodes, or resistors, or capacitors} [2013-01]
H01L 27/0641
. . . . .
{without components of the field effect type} [2013-01]
H01L 27/0647
. . . . . .
{Bipolar transistors in combination with diodes, or capacitors, or resistors, e.g. vertical bipolar transistor and bipolar lateral transistor and resistor} [2013-01]
H01L 27/0652
. . . . . . .
{Vertical bipolar transistor in combination with diodes, or capacitors, or resistors} [2013-01]
H01L 27/0658
. . . . . . . .
{Vertical bipolar transistor in combination with resistors or capacitors} [2013-01]
H01L 27/0664
. . . . . . . .
{Vertical bipolar transistor in combination with diodes} [2013-01]
H01L 27/067
. . . . . . .
{Lateral bipolar transistor in combination with diodes, or capacitors, or resistors} [2013-01]
H01L 27/0676
. . . . . .
{comprising combinations of diodes, or capacitors or resistors} [2013-01]
H01L 27/0682
. . . . . . .
{comprising combinations of capacitors and resistors} [2013-01]
H01L 27/0688
. . . .
{Integrated circuits having a three-dimensional layout} [2013-01]
H01L 27/0694
. . . . .
{comprising components formed on opposite sides of a semiconductor substrate} [2013-01]
H01L 27/07
. . . .
the components having an active region in common [2013-01]
H01L 27/0705
. . . . .
{comprising components of the field effect type} [2013-01]
H01L 27/0711
. . . . . .
{in combination with bipolar transistors and diodes, or capacitors, or resistors} [2013-01]
H01L 27/0716
. . . . . . .
{in combination with vertical bipolar transistors and diodes, or capacitors, or resistors} [2013-01]
H01L 27/0722
. . . . . . .
{in combination with lateral bipolar transistors and diodes, or capacitors, or resistors} [2013-01]
H01L 27/0727
. . . . . .
{in combination with diodes, or capacitors or resistors} [2013-01]
H01L 27/0733
. . . . . . .
{in combination with capacitors only} [2013-01]
H01L 27/0738
. . . . . . .
{in combination with resistors only} [2013-01]
H01L 27/0744
. . . . .
{without components of the field effect type} [2013-01]
H01L 27/075
. . . . . .
{Bipolar transistors in combination with diodes, or capacitors, or resistors, e.g. lateral bipolar transistor, and vertical bipolar transistor and resistor} [2013-01]
H01L 27/0755
. . . . . . .
{Vertical bipolar transistor in combination with diodes, or capacitors, or resistors} [2013-01]
H01L 27/0761
. . . . . . . .
{Vertical bipolar transistor in combination with diodes only} [2013-01]
H01L 27/0766
. . . . . . . . .
{with Schottky diodes only} [2013-01]
H01L 27/0772
. . . . . . . .
{Vertical bipolar transistor in combination with resistors only} [2013-01]
H01L 27/0777
. . . . . . . .
{Vertical bipolar transistor in combination with capacitors only} [2013-01]
H01L 27/0783
. . . . . . .
{Lateral bipolar transistors in combination with diodes, or capacitors, or resistors} [2013-01]
H01L 27/0788
. . . . . .
{comprising combinations of diodes or capacitors or resistors} [2013-01]
H01L 27/0794
. . . . . . .
{Combinations of capacitors and resistors} [2013-01]
H01L 27/08
. . .
including only semiconductor components of a single kind [2013-01]
H01L 27/0802
. . . .
{Resistors only} [2013-01]
H01L 27/0805
. . . .
{Capacitors only} [2013-01]
H01L 27/0808
. . . . .
{Varactor diodes} [2013-01]
H01L 27/0811
. . . . .
{MIS diodes} [2013-01]
H01L 27/0814
. . . .
{Diodes only} [2013-01]
H01L 27/0817
. . . .
{Thyristors only} [2013-01]
H01L 27/082
. . . .
including bipolar components only [2013-01]
H01L 27/0821
. . . . .
{Combination of lateral and vertical transistors only} [2013-01]
H01L 27/0823
. . . . .
{including vertical bipolar transistors only} [2013-01]
H01L 27/0825
. . . . . .
{Combination of vertical direct transistors of the same conductivity type having different characteristics,(e.g. Darlington transistors)} [2013-01]
H01L 27/0826
. . . . . .
{Combination of vertical complementary transistors} [2013-01]
H01L 27/0828
. . . . . .
{Combination of direct and inverse vertical transistors} [2013-01]
H01L 27/085
. . . .
including field-effect components only [2015-11]
H01L 27/088
. . . . .
the components being field-effect transistors with insulated gate [2013-01]
H01L 27/0883
. . . . . .
{Combination of depletion and enhancement field effect transistors} [2013-01]
H01L 27/0886
. . . . . .
{including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET} [2013-01]
H01L 27/092
. . . . . .
complementary MIS field-effect transistors [2017-08]
H01L 27/0921
. . . . . . .
{Means for preventing a bipolar, e.g. thyristor, action between the different transistor regions, e.g. Latchup prevention} [2013-01]
H01L 27/0922
. . . . . . .
{Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS} [2013-01]
H01L 27/0924
. . . . . . .
{including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET} [2013-01]
H01L 27/0925
. . . . . . .
{comprising an N-well only in the substrate} [2013-01]
H01L 27/0927
. . . . . . .
{comprising a P-well only in the substrate} [2013-01]
H01L 27/0928
. . . . . . .
{comprising both N- and P- wells in the substrate, e.g. twin-tub} [2013-01]
H01L 27/095
. . . . .
the components being Schottky barrier gate field-effect transistors [2013-01]
H01L 27/098
. . . . .
the components being PN junction gate field-effect transistors [2013-01]
H01L 27/10
. . .
including a plurality of individual components in a repetitive configuration [2023-02]
WARNING

H01L 27/101
. . . .
{including resistors or capacitors only} [2023-02]
WARNING

H01L 27/102
. . . .
including bipolar components [2023-02]
WARNING

H01L 27/1021
. . . . .
{including diodes only} [2023-02]
WARNING

H01L 27/1022
. . . . .
{including bipolar transistors} [2023-02]
WARNING

H01L 27/1027
. . . . .
{Thyristors} [2023-02]
WARNING

H01L 27/1028
. . . . .
{Double base diodes} [2023-02]
WARNING

H01L 27/105
. . . .
including field-effect components [2023-02]
NOTE

  • In this group and its subgroups classification is made in any appropriate place
WARNING

H01L 27/1055
. . . . .
{comprising charge coupled devices of the so-called bucket brigade type} [2013-01]
H01L 27/1057
. . . . .
{comprising charge coupled devices [CCD] or charge injection devices [CID]} [2013-01]
H01L 27/118
. . . .
Masterslice integrated circuits [2013-01]
H01L 27/11801
. . . . .
{using bipolar technology} [2013-01]
H01L 27/11803
. . . . .
{using field effect technology} [2013-01]
H01L 2027/11805
. . . . . .
{A3B5 or A3B6 gate arrays} [2013-01]
H01L 27/11807
. . . . . .
{CMOS gate arrays} [2013-01]
H01L 2027/11809
. . . . . . .
{Microarchitecture} [2017-08]
H01L 2027/11811
. . . . . . . .
{Basic cell P to N transistor count} [2013-01]
H01L 2027/11812
. . . . . . . . .
{4-T CMOS basic cell} [2013-01]
H01L 2027/11814
. . . . . . . . .
{5-T CMOS basic cell} [2013-01]
H01L 2027/11816
. . . . . . . . .
{6-T CMOS basic cell} [2013-01]
H01L 2027/11818
. . . . . . . . .
{7-T CMOS basic cell} [2013-01]
H01L 2027/1182
. . . . . . . . .
{8-T CMOS basic cell} [2013-01]
H01L 2027/11822
. . . . . . . .
{relative P to N transistor sizes} [2013-01]
H01L 2027/11824
. . . . . . . . .
{for current drive capability} [2013-01]
H01L 2027/11825
. . . . . . . . .
{for delay time adaptation} [2013-01]
H01L 2027/11827
. . . . . . . . .
{for capacitive loading} [2013-01]
H01L 2027/11829
. . . . . . . .
{Isolation techniques} [2013-01]
H01L 2027/11831
. . . . . . . . .
{FET isolation} [2013-01]
H01L 2027/11833
. . . . . . . . .
{LOCOS} [2013-01]
H01L 2027/11835
. . . . . . . .
{Degree of specialisation for implementing specific functions} [2013-01]
H01L 2027/11837
. . . . . . . . .
{Implementation of digital circuits} [2013-01]
H01L 2027/11838
. . . . . . . . . .
{Implementation of memory functions} [2013-01]
H01L 2027/1184
. . . . . . . . .
{Implementation of analog circuits} [2013-01]
H01L 2027/11842
. . . . . . . . . .
{Resistors and capacitors} [2013-01]
H01L 2027/11844
. . . . . . . . .
{Hybrid analog or digital} [2013-01]
H01L 2027/11846
. . . . . . . . .
{Embedded IO cells} [2013-01]
H01L 2027/11848
. . . . . . . . .
{Transmission gate} [2013-01]
H01L 2027/1185
. . . . . . . . .
{Porous cells, i.e. pass-through elements} [2013-01]
H01L 2027/11851
. . . . . . . .
{Technology used, i.e. design rules} [2013-01]
H01L 2027/11853
. . . . . . . . .
{Sub-micron technology} [2013-01]
H01L 2027/11855
. . . . . . . . .
{Twin-tub technology} [2013-01]
H01L 2027/11857
. . . . . . . . .
{SOS, SOI technology} [2013-01]
H01L 2027/11859
. . . . . . . .
{Connectibility characteristics, i.e. diffusion and polysilicon geometries} [2013-01]
H01L 2027/11861
. . . . . . . . .
{Substrate and well contacts} [2013-01]
H01L 2027/11862
. . . . . . . . .
{Horizontal or vertical grid line density} [2013-01]
H01L 2027/11864
. . . . . . . . .
{Yield or reliability} [2013-01]
H01L 2027/11866
. . . . . . . . .
{Gate electrode terminals or contacts} [2013-01]
H01L 2027/11868
. . . . . . .
{Macro-architecture} [2013-01]
H01L 2027/1187
. . . . . . . .
{Number of core or basic cells in the macro (RAM, ROM)} [2013-01]
H01L 2027/11872
. . . . . . . .
{Distribution function, e.g. Sea of Gates} [2013-01]
H01L 2027/11874
. . . . . . . .
{Layout specification, i.e. inner core region} [2013-01]
H01L 2027/11875
. . . . . . . . .
{Wiring region, routing} [2013-01]
H01L 2027/11877
. . . . . . . . .
{Avoiding clock-skew or clock-delay} [2013-01]
H01L 2027/11879
. . . . . . . . .
{Data lines (buses)} [2013-01]
H01L 2027/11881
. . . . . . . . .
{Power supply lines} [2013-01]
H01L 2027/11883
. . . . . . .
{Levels of metallisation} [2013-01]
H01L 2027/11885
. . . . . . . .
{Two levels of metal} [2013-01]
H01L 2027/11887
. . . . . . . .
{Three levels of metal} [2013-01]
H01L 2027/11888
. . . . . . . .
{More than 3 levels of metal} [2013-01]
H01L 2027/1189
. . . . . . .
{Latch-up prevention} [2013-01]
H01L 2027/11892
. . . . . . .
{Noise prevention (crosstalk)} [2013-01]
H01L 2027/11894
. . . . . . .
{Radiation hardened circuits} [2013-01]
H01L 27/11896
. . . . .
{using combined field effect/bipolar technology} [2013-01]
H01L 27/11898
. . . . .
{Input and output buffer/driver structures} [2013-01]
H01L 27/12
. .
the substrate being other than a semiconductor body, e.g. an insulating body [2013-01]
H01L 27/1203
. . .
{the substrate comprising an insulating body on a semiconductor body, e.g. SOI (three-dimensional layout H01L 27/0688)} [2013-01]
H01L 27/1207
. . . .
{combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits} [2013-01]
H01L 27/1211
. . . .
{combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET} [2013-01]
H01L 27/1214
. . .
{comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs} [2015-10]
WARNING

H01L 27/1218
. . . .
{with a particular composition or structure of the substrate} [2013-01]
H01L 27/1222
. . . .
{with a particular composition, shape or crystalline structure of the active layer} [2013-01]
H01L 27/1225
. . . . .
{with semiconductor materials not belonging to the group IV of the periodic table, e.g. InGaZnO} [2013-01]
H01L 27/1229
. . . . .
{with different crystal properties within a device or between different devices} [2013-01]
H01L 27/1233
. . . . .
{with different thicknesses of the active layer in different devices} [2013-01]
H01L 27/1237
. . . .
{with a different composition, shape, layout or thickness of the gate insulator in different devices} [2013-01]
H01L 27/124
. . . .
{with a particular composition, shape or layout of the wiring layers specially adapted to the circuit arrangement, e.g. scanning lines in LCD pixel circuits (wiring structures per se H01L 23/52)} [2013-01]
H01L 27/1244
. . . . .
{for preventing breakage, peeling or short circuiting} [2013-01]
H01L 27/1248
. . . .
{with a particular composition or shape of the interlayer dielectric specially adapted to the circuit arrangement} [2013-01]
H01L 27/1251
. . . .
{comprising TFTs having a different architecture, e.g. top- and bottom gate TFTs} [2013-01]
H01L 27/1255
. . . .
{integrated with passive devices, e.g. auxiliary capacitors} [2013-01]
H01L 27/1259
. . . .
{Multistep manufacturing methods} [2013-01]
H01L 27/1262
. . . . .
{with a particular formation, treatment or coating of the substrate} [2013-01]
H01L 27/1266
. . . . . .
{the substrate on which the devices are formed not being the final device substrate, e.g. using a temporary substrate} [2013-01]
H01L 27/127
. . . . .
{with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement} [2013-01]
H01L 27/1274
. . . . . .
{using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor} [2017-01]
H01L 27/1277
. . . . . . .
{using a crystallisation promoting species, e.g. local introduction of Ni catalyst} [2013-01]
H01L 27/1281
. . . . . . .
{by using structural features to control crystal growth, e.g. placement of grain filters} [2013-01]
H01L 27/1285
. . . . . . .
{using control of the annealing or irradiation parameters, e.g. using different scanning direction or intensity for different transistors} [2013-01]
H01L 27/1288
. . . . .
{employing particular masking sequences or specially adapted masks, e.g. half-tone mask} [2013-01]
H01L 27/1292
. . . . .
{using liquid deposition, e.g. printing} [2013-01]
H01L 27/1296
. . . . .
{adapted to increase the uniformity of device parameters} [2013-01]
H01L 27/13
. . .
combined with thin-film or thick-film passive components [2017-01]
H01L 27/14
.
including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation (radiation-sensitive components structurally associated with one or more electric light sources only H01L 31/14; couplings of light guides with optoelectronic elements G02B 6/42) [2023-08]
H01L 27/142
. .
Energy conversion devices (photovoltaic modules or arrays of single photovoltaic cells comprising bypass diodes integrated or directly associated with the devices H01L 31/0443; photovoltaic modules composed of a plurality of thin film solar cells deposited on the same substrate H01L 31/046) [2014-12]
H01L 27/1421
. . .
{comprising bypass diodes integrated or directly associated with the device, e.g. bypass diode integrated or formed in or on the same substrate as the solar cell} [2013-01]
H01L 27/144
. .
Devices controlled by radiation [2013-01]
H01L 27/1443
. . .
{with at least one potential jump or surface barrier} [2013-01]
H01L 27/1446
. . .
{in a repetitive configuration} [2013-01]
H01L 27/146
. . .
Imager structures [2021-08]
H01L 27/14601
. . . .
{Structural or functional details thereof} [2013-01]
H01L 27/14603
. . . . .
{Special geometry or disposition of pixel-elements, address-lines or gate-electrodes} [2013-01]
H01L 27/14605
. . . . . .
{Structural or functional details relating to the position of the pixel elements, e.g. smaller pixel elements in the center of the imager compared to pixel elements at the periphery} [2013-01]
H01L 27/14607
. . . . . .
{Geometry of the photosensitive area} [2013-01]
H01L 27/14609
. . . . .
{Pixel-elements with integrated switching, control, storage or amplification elements (scanning details of imagers (circuitry of solid-state image sensors H04N 25/00); circuitry of imagers H04N 25/70)} [2023-08]
H01L 27/1461
. . . . . .
{characterised by the photosensitive area} [2013-01]
H01L 27/14612
. . . . . .
{involving a transistor} [2013-01]
H01L 27/14614
. . . . . . .
{having a special gate structure} [2013-01]
H01L 27/14616
. . . . . . .
{characterised by the channel of the transistor, e.g. channel having a doping gradient} [2013-01]
H01L 27/14618
. . . . .
{Containers} [2013-01]
H01L 27/1462
. . . . .
{Coatings} [2013-01]
H01L 27/14621
. . . . . .
{Colour filter arrangements} [2013-01]
H01L 27/14623
. . . . . .
{Optical shielding} [2013-01]
H01L 27/14625
. . . . .
{Optical elements or arrangements associated with the device} [2013-01]
H01L 27/14627
. . . . . .
{Microlenses} [2013-01]
H01L 27/14629
. . . . . .
{Reflectors} [2013-01]
H01L 27/1463
. . . . .
{Pixel isolation structures} [2013-01]
H01L 27/14632
. . . . .
{Wafer-level processed structures} [2013-01]
H01L 27/14634
. . . . .
{Assemblies, i.e. Hybrid structures} [2013-01]
H01L 27/14636
. . . . .
{Interconnect structures} [2013-01]
H01L 27/14638
. . . . .
{Structures specially adapted for transferring the charges across the imager perpendicular to the imaging plane} [2013-01]
H01L 27/1464
. . . . .
{Back illuminated imager structures} [2013-01]
H01L 27/14641
. . . . .
{Electronic components shared by two or more pixel-elements, e.g. one amplifier shared by two pixel elements} [2013-01]
H01L 27/14643
. . . .
{Photodiode arrays; MOS imagers} [2013-01]
H01L 27/14645
. . . . .
{Colour imagers} [2013-01]
H01L 27/14647
. . . . . .
{Multicolour imagers having a stacked pixel-element structure, e.g. npn, npnpn or MQW elements} [2013-01]
H01L 27/14649
. . . . .
{Infrared imagers} [2023-08]
H01L 27/1465
. . . . . .
{of the hybrid type} [2013-01]
H01L 27/14652
. . . . . .
{Multispectral infrared imagers, having a stacked pixel-element structure, e.g. npn, npnpn or MQW structures} [2023-08]
H01L 27/14654
. . . . .
{Blooming suppression} [2013-01]
H01L 27/14656
. . . . . .
{Overflow drain structures} [2013-01]
H01L 27/14658
. . . . .
{X-ray, gamma-ray or corpuscular radiation imagers (measuring X-, gamma- or corpuscular radiation G01T 1/00)} [2013-01]
H01L 27/14659
. . . . . .
{Direct radiation imagers structures} [2013-01]
H01L 27/14661
. . . . . .
{of the hybrid type} [2013-01]
H01L 27/14663
. . . . . .
{Indirect radiation imagers, e.g. using luminescent members} [2016-08]
H01L 27/14665
. . . .
{Imagers using a photoconductor layer} [2013-01]
H01L 27/14667
. . . . .
{Colour imagers} [2013-01]
H01L 27/14669
. . . . .
{Infrared imagers} [2023-08]
H01L 27/1467
. . . . . .
{of the hybrid type} [2013-01]
H01L 27/14672
. . . . .
{Blooming suppression} [2013-01]
H01L 27/14674
. . . . . .
{Overflow drain structures} [2013-01]
H01L 27/14676
. . . . .
{X-ray, gamma-ray or corpuscular radiation imagers (measuring X-, gamma- or corpuscular radiation G01T 1/00)} [2013-01]
H01L 27/14678
. . . .
{Contact-type imagers} [2013-01]
H01L 27/14679
. . . .
{Junction field effect transistor [JFET] imagers; static induction transistor [SIT] imagers} [2013-01]
H01L 27/14681
. . . .
{Bipolar transistor imagers} [2013-01]
H01L 27/14683
. . . .
{Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof (not peculiar thereto H01L 21/00)} [2013-01]
H01L 27/14685
. . . . .
{Process for coatings or optical elements} [2013-01]
H01L 27/14687
. . . . .
{Wafer level processing} [2013-01]
H01L 27/14689
. . . . .
{MOS based technologies} [2013-01]
H01L 27/1469
. . . . .
{Assemblies, i.e. hybrid integration} [2013-01]
H01L 27/14692
. . . . .
{Thin film technologies, e.g. amorphous, poly, micro- or nanocrystalline silicon} [2017-08]
H01L 27/14694
. . . . .
{The active layers comprising only AIIIBV compounds, e.g. GaAs, InP} [2013-01]
H01L 27/14696
. . . . .
{The active layers comprising only AIIBVI compounds, e.g. CdS, ZnS, CdTe} [2013-01]
H01L 27/14698
. . . . .
{Post-treatment for the devices, e.g. annealing, impurity-gettering, shor-circuit elimination, recrystallisation} [2013-01]
H01L 27/148
. . . .
Charge coupled imagers {(individual charge coupled devices H01L 29/765)} [2013-01]
H01L 27/14806
. . . . .
{Structural or functional details thereof} [2013-01]
H01L 27/14812
. . . . . .
{Special geometry or disposition of pixel-elements, address lines or gate-electrodes} [2013-01]
H01L 27/14818
. . . . . . .
{Optical shielding} [2013-01]
H01L 27/14825
. . . . .
{Linear CCD imagers} [2013-01]
H01L 27/14831
. . . . .
{Area CCD imagers} [2013-01]
H01L 27/14837
. . . . . .
{Frame-interline transfer} [2013-01]
H01L 27/14843
. . . . . .
{Interline transfer} [2013-01]
H01L 27/1485
. . . . . .
{Frame transfer} [2013-01]
H01L 27/14856
. . . . . .
{Time-delay and integration} [2013-01]
H01L 27/14862
. . . . .
{CID imagers} [2013-01]
H01L 27/14868
. . . . .
{CCD or CID colour imagers} [2013-01]
H01L 27/14875
. . . . .
{Infrared CCD or CID imagers} [2023-08]
H01L 27/14881
. . . . . .
{of the hybrid type} [2013-01]
H01L 27/14887
. . . . .
{Blooming suppression} [2013-01]
H01L 27/14893
. . . . .
{comprising a photoconductive layer deposited on the CCD structure} [2013-01]
H01L 27/15
.
including semiconductor components having potential barriers, specially adapted for light emission [2024-01]
H01L 27/153
. .
{in a repetitive configuration, e.g. LED bars} [2013-01]
H01L 27/156
. . .
{two-dimensional arrays} [2013-01]
H01L 28/00
{Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor (testing or measuring during manufacture H01L 22/00; integration methods H01L 21/70; integrated circuits H01L 27/00; two-terminal components with a potential-jump or surface barrier H01L 29/00; resistors in general H01C; inductors in general H01F; capacitors in general H01G)} [2023-01]
H01L 28/10
.
{Inductors} [2023-01]
H01L 28/20
.
{Resistors} [2023-01]
H01L 28/22
. .
{with an active material comprising carbon, e.g. diamond or diamond-like carbon [DLC]} [2023-01]
H01L 28/24
. .
{with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides} [2023-01]
H01L 28/26
. .
{with an active material comprising an organic conducting material, e.g. conducting polymers} [2023-01]
H01L 28/40
.
{Capacitors} [2023-01]
H01L 28/55
. .
{with a dielectric comprising a perovskite structure material} [2023-01]
H01L 28/56
. . .
{the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers} [2023-01]
H01L 28/57
. . .
{comprising a barrier layer to prevent diffusion of hydrogen or oxygen} [2023-01]
H01L 28/60
. .
{Electrodes} [2023-01]
H01L 28/65
. . .
{comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)} [2023-01]
H01L 28/75
. . .
{comprising two or more layers, e.g. comprising a barrier layer and a metal layer} [2023-01]
H01L 28/82
. . .
{with an enlarged surface, e.g. formed by texturisation} [2023-01]
H01L 28/84
. . . .
{being a rough surface, e.g. using hemispherical grains} [2023-01]
H01L 28/86
. . . .
{having horizontal extensions} [2023-01]
H01L 28/87
. . . . .
{made by depositing layers, e.g. by depositing alternating conductive and insulating layers} [2023-01]
H01L 28/88
. . . . .
{made by patterning layers, e.g. by etching conductive layers} [2023-01]
H01L 28/90
. . . .
{having vertical extensions} [2023-01]
H01L 28/91
. . . . .
{made by depositing layers, e.g. by depositing alternating conductive and insulating layers} [2023-01]
H01L 28/92
. . . . .
{made by patterning layers, e.g. by etching conductive layers} [2023-01]
H01L 29/00
Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof {; Multistep manufacturing processes therefor} (H01L 31/00 - H01L 33/00, H10K 10/00, H10N take precedence; details other than of semiconductor bodies or of electrodes thereof H01L 23/00; devices consisting of a plurality of solid state components formed in or on a common substrate H01L 27/00) [2024-01]
NOTE

H01L 29/02
.
Semiconductor bodies {; Multistep manufacturing processes therefor} [2017-08]
H01L 29/04
. .
characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes (characterised by physical imperfections H01L 29/30) [2016-11]
H01L 29/045
. . .
{by their particular orientation of crystalline planes} [2013-01]
H01L 29/06
. .
characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions {; characterised by the concentration or distribution of impurities within semiconductor regions} [2017-08]
H01L 29/0603
. . .
{characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions (isolation regions between components H01L 21/76; design considerations for integrated circuits H01L 27/00; geometrical design considerations for devices H01L 29/0657)} [2013-01]
H01L 29/0607
. . . .
{for preventing surface leakage or controlling electric field concentration} [2013-01]
H01L 29/0611
. . . . .
{for increasing or controlling the breakdown voltage of reverse biased devices (H01L 29/0661 takes precedence)} [2013-01]
H01L 29/0615
. . . . . .
{by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] (LDD or drain offset regions H01L 29/7833)} [2013-01]
H01L 29/0619
. . . . . . .
{with a supplementary region doped oppositely to or in rectifying contact with the semiconductor containing or contacting region, e.g. guard rings with PN or Schottky junction} [2013-01]
H01L 29/0623
. . . . . . . .
{Buried supplementary region, e.g. buried guard ring (multi-RESURF H01L 29/0634)} [2013-01]
H01L 29/0626
. . . . . . .
{with a localised breakdown region, e.g. built-in avalanching region (in self-protected thyristors H01L 29/7424)} [2013-01]
H01L 29/063
. . . . . . .
{Reduced surface field [RESURF] pn-junction structures} [2013-01]
H01L 29/0634
. . . . . . . .
{Multiple reduced surface field (multi-RESURF) structures, e.g. double RESURF, charge compensation, cool, superjunction (SJ), 3D-RESURF, composite buffer (CB) structures} [2013-01]
H01L 29/0638
. . . . .
{for preventing surface leakage due to surface inversion layer, e.g. with channel stopper (channel stoppers in combination with isolation region for integrated circuits H01L 21/762)} [2013-01]
H01L 29/0642
. . . .
{Isolation within the component, i.e. internal isolation} [2013-01]
H01L 29/0646
. . . . .
{PN junctions} [2013-01]
H01L 29/0649
. . . . .
{Dielectric regions, e.g. SiO2 regions, air gaps} [2013-01]
H01L 29/0653
. . . . . .
{adjoining the input or output region of a field-effect device, e.g. the source or drain region} [2013-01]
H01L 29/0657
. . .
{characterised by the shape of the body} [2013-01]
H01L 29/0661
. . . .
{specially adapted for altering the breakdown voltage by removing semiconductor material at, or in the neighbourhood of, a reverse biased junction, e.g. by bevelling, moat etching, depletion etching} [2013-01]
H01L 29/0665
. . . .
{the shape of the body defining a nanostructure (nanotechnology per se B82B)} [2013-01]
H01L 29/0669
. . . . .
{Nanowires or nanotubes (carbon nanotubes as material of solid-state device active part H10K 85/211)} [2023-02]
H01L 29/0673
. . . . . .
{oriented parallel to a substrate} [2013-01]
H01L 29/0676
. . . . . .
{oriented perpendicular or at an angle to a substrate} [2013-01]
H01L 29/068
. . . . . .
{comprising a junction} [2013-01]
H01L 29/0684
. . .
{characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions} [2013-01]
H01L 29/0688
. . . .
{characterised by the particular shape of a junction between semiconductor regions} [2013-01]
H01L 29/0692
. . . .
{Surface layout} [2013-01]
H01L 29/0696
. . . . .
{of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs} [2013-01]
H01L 29/08
. . .
with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes [2013-01]
H01L 29/0804
. . . .
{Emitter regions of bipolar transistors} [2013-01]
H01L 29/0808
. . . . .
{of lateral transistors} [2013-01]
H01L 29/0813
. . . . .
{Non-interconnected multi-emitter structures} [2013-01]
H01L 29/0817
. . . . .
{of heterojunction bipolar transistors (H01L 29/7375 takes precedence)} [2013-01]
H01L 29/0821
. . . .
{Collector regions of bipolar transistors} [2013-01]
H01L 29/0826
. . . . .
{Pedestal collectors} [2013-01]
H01L 29/083
. . . .
{Anode or cathode regions of thyristors or gated bipolar-mode devices} [2013-01]
H01L 29/0834
. . . . .
{Anode regions of thyristors or gated bipolar-mode devices, e.g. supplementary regions surrounding anode regions} [2013-01]
H01L 29/0839
. . . . .
{Cathode regions of thyristors} [2013-01]
H01L 29/0843
. . . .
{Source or drain regions of field-effect devices} [2013-01]
H01L 29/0847
. . . . .
{of field-effect transistors with insulated gate (H01L 29/0653 takes precedence; with a passive supplementary region between source or drain and substrate related to punch-through, capacity or isolation phenomena H01L 29/1079; with LDD or DDD structure H01L 29/7833; for thin film transistors H01L 29/78618)} [2013-01]
H01L 29/0852
. . . . . .
{of DMOS transistors} [2015-10]
WARNING

H01L 29/0856
. . . . . . .
{Source regions} [2013-01]
H01L 29/086
. . . . . . . .
{Impurity concentration or distribution} [2013-01]
H01L 29/0865
. . . . . . . .
{Disposition} [2013-01]
H01L 29/0869
. . . . . . . .
{Shape (cell layout H01L 29/0696)} [2013-01]
H01L 29/0873
. . . . . . .
{Drain regions} [2013-01]
H01L 29/0878
. . . . . . . .
{Impurity concentration or distribution} [2013-01]
H01L 29/0882
. . . . . . . .
{Disposition} [2013-01]
H01L 29/0886
. . . . . . . .
{Shape} [2013-01]
H01L 29/0891
. . . . .
{of field-effect transistors with Schottky gate} [2013-01]
H01L 29/0895
. . . .
{Tunnel injectors} [2013-01]
H01L 29/10
. . .
with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes [2013-01]
H01L 29/1004
. . . .
{Base region of bipolar transistors} [2013-01]
H01L 29/1008
. . . . .
{of lateral transistors} [2013-01]
H01L 29/1012
. . . .
{Base regions of thyristors (H01L 29/083 takes precedence)} [2013-01]
H01L 29/1016
. . . . .
{Anode base regions of thyristors} [2013-01]
H01L 29/102
. . . . .
{Cathode base regions of thyristors} [2013-01]
H01L 29/1025
. . . .
{Channel region of field-effect devices} [2013-01]
H01L 29/1029
. . . . .
{of field-effect transistors} [2013-01]
H01L 29/1033
. . . . . .
{with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure (with channel and gate aligned in the lengthwise direction H01L 29/42376; with buried channel H01L 29/7838)} [2013-01]
H01L 29/1037
. . . . . . .
{and non-planar channel (resulting from the gate electrode disposition, e.g. within a trench, H01L 29/42356)} [2013-01]
H01L 29/1041
. . . . . . .
{with a non-uniform doping structure in the channel region surface} [2013-01]
H01L 29/1045
. . . . . . . .
{the doping structure being parallel to the channel length, e.g. DMOS like} [2013-01]
H01L 29/105
. . . . . . .
{with vertical doping variation (H01L 29/7827 takes precedence)} [2013-01]
H01L 29/1054
. . . . . . .
{with a variation of the composition, e.g. channel with strained layer for increasing the mobility} [2013-01]
H01L 29/1058
. . . . . .
{with PN junction gate} [2013-01]
H01L 29/1062
. . . . .
{of charge coupled devices} [2013-01]
H01L 29/1066
. . . .
{Gate region of field-effect devices with PN junction gate} [2013-01]
H01L 29/107
. . . .
{Substrate region of field-effect devices} [2013-01]
H01L 29/1075
. . . . .
{of field-effect transistors} [2013-01]
H01L 29/1079
. . . . . .
{with insulated gate} [2013-01]
H01L 29/1083
. . . . . . .
{with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current} [2013-01]
H01L 29/1087
. . . . . . .
{characterised by the contact structure of the substrate region, e.g. for controlling or preventing bipolar effect} [2013-01]
H01L 29/1091
. . . . .
{of charge coupled devices} [2013-01]
H01L 29/1095
. . . .
{Body region, i.e. base region, of DMOS transistors or IGBTs (cell layout H01L 29/0696)} [2013-01]
H01L 29/12
. .
characterised by the materials of which they are formed [2013-01]
H01L 29/122
. . .
{Single quantum well structures (single heterojunctions, couples of materials H01L 29/165, H01L 29/205, H01L 29/225, H01L 29/267)} [2013-01]
H01L 29/125
. . . .
{Quantum wire structures} [2013-01]
H01L 29/127
. . . .
{Quantum box structures} [2013-01]
H01L 29/15
. . .
Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices (such structures applied for the control of light G02F 1/017, applied in semiconductor lasers H01S 5/34) [2016-05]
NOTE

H01L 29/151
. . . .
{Compositional structures (H01L 29/157 and H01L 29/158 take precedence)} [2013-01]
H01L 29/152
. . . . .
{with quantum effects only in vertical direction, i.e. layered structures with quantum effects solely resulting from vertical potential variation} [2013-01]
H01L 29/154
. . . . . .
{comprising at least one long range structurally disordered material, e.g. one-dimensional vertical amorphous superlattices} [2013-01]
H01L 29/155
. . . . . .
{Comprising only semiconductor materials (H01L 29/154 takes precedence)} [2013-01]
H01L 29/157
. . . .
{Doping structures, e.g. doping superlattices, nipi superlattices (delta doping in general H01L 29/365)} [2013-01]
H01L 29/158
. . . .
{Structures without potential periodicity in a direction perpendicular to a major surface of the substrate, i.e. vertical direction, e.g. lateral superlattices, lateral surface superlattices [LSS]} [2013-01]
H01L 29/16
. . .
including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table [2024-01]
H01L 29/1602
. . . .
{Diamond} [2013-01]
H01L 29/1604
. . . .
{Amorphous materials} [2013-01]
H01L 29/1606
. . . .
{Graphene} [2013-01]
H01L 29/1608
. . . .
{Silicon carbide} [2013-01]
H01L 29/161
. . . .
including two or more of the elements provided for in group H01L 29/16 {, e.g. alloys (H01L 29/1604 takes precedence)} [2017-08]
H01L 29/165
. . . . .
in different semiconductor regions {, e.g. heterojunctions} [2017-08]
H01L 29/167
. . . .
further characterised by the doping material {(H01L 29/1604 takes precedence)} [2013-01]
H01L 29/18
. . .
Selenium or tellurium only, apart from doping materials or other impurities [2013-01]
H01L 29/185
. . . .
{Amorphous materials} [2013-01]
H01L 29/20
. . .
including, apart from doping materials or other impurities, only AIIIBV compounds [2013-01]
H01L 29/2003
. . . .
{Nitride compounds} [2013-01]
H01L 29/2006
. . . .
{Amorphous materials} [2013-01]
H01L 29/201
. . . .
including two or more compounds {, e.g. alloys (H01L 29/2006 takes precedence)} [2017-08]
H01L 29/205
. . . . .
in different semiconductor regions {, e.g. heterojunctions} [2017-08]
H01L 29/207
. . . .
further characterised by the doping material {(H01L 29/2006 takes precedence)} [2013-01]
H01L 29/22
. . .
including, apart from doping materials or other impurities, only AIIBVI compounds [2013-01]
H01L 29/2203
. . . .
{Cd X compounds being one element of the 6th group of the Periodic Table  (H01L 29/2206 takes precedence)} [2024-01]
H01L 29/2206
. . . .
{Amorphous materials} [2013-01]
H01L 29/221
. . . .
including two or more compounds {, e.g. alloys (H01L 29/2206 takes precedence)} [2017-08]
H01L 29/225
. . . . .
in different semiconductor regions {, e.g. heterojunctions} [2017-08]
H01L 29/227
. . . .
further characterised by the doping material {(H01L 29/2206 takes precedence)} [2013-01]
H01L 29/24
. . .
including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L 29/16, H01L 29/18, H01L 29/20, H01L 29/22 (including organic materials H10K 99/00) [2023-02]
H01L 29/242
. . . .
{AIBVI or AIBVII compounds, e.g. Cu2O, Cu I (H01L 29/247 takes precedence)} [2013-01]
H01L 29/245
. . . .
{Pb compounds, e.g. PbO (H01L 29/247 takes precedence)} [2013-01]
H01L 29/247
. . . .
{Amorphous materials} [2013-01]
H01L 29/26
. . .
including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L 29/16, H01L 29/18, H01L 29/20, H01L 29/22, H01L 29/24 {, e.g. alloys} [2017-08]
H01L 29/263
. . . .
{Amorphous materials} [2013-01]
H01L 29/267
. . . .
in different semiconductor regions {, e.g. heterojunctions (H01L 29/263 takes precedence)} [2017-08]
H01L 29/30
. .
characterised by physical imperfections; having polished or roughened surface [2013-01]
H01L 29/32
. . .
the imperfections being within the semiconductor body [2013-01]
H01L 29/34
. . .
the imperfections being on the surface [2013-01]
H01L 29/36
. .
characterised by the concentration or distribution of impurities {in the bulk material (within semiconductor regions H01L 29/06)} [2013-01]
H01L 29/365
. . .
{Planar doping, e.g. atomic-plane doping, delta-doping} [2013-01]
H01L 29/40
.
Electrodes {; Multistep manufacturing processes therefor} [2017-08]
H01L 29/401
. .
{Multistep manufacturing processes} [2021-08]
H01L 29/4011
. . .
{for data storage electrodes} [2021-08]
H01L 29/40111
. . . .
{the electrodes comprising a layer which is used for its ferroelectric properties} [2021-08]
H01L 29/40114
. . . .
{the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure} [2021-08]
H01L 29/40117
. . . .
{the electrodes comprising a charge-trapping insulator} [2021-08]
H01L 29/402
. .
{Field plates} [2013-01]
H01L 29/404
. . .
{Multiple field plate structures} [2013-01]
H01L 29/405
. . .
{Resistive arrangements, e.g. resistive or semi-insulating field plates} [2013-01]
H01L 29/407
. . .
{Recessed field plates, e.g. trench field plates, buried field plates} [2013-01]
H01L 29/408
. .
{with an insulating layer with a particular dielectric or electrostatic property, e.g. with static charges or for controlling trapped charges or moving ions, or with a plate acting on the insulator potential or the insulator charges, e.g. for controlling charges effect or potential distribution in the insulating layer, or with a semi-insulating layer contacting directly the semiconductor surface} [2013-01]
H01L 29/41
. .
characterised by their shape, relative sizes or dispositions [2013-01]
H01L 29/413
. . .
{Nanosized electrodes, e.g. nanowire electrodes comprising one or a plurality of nanowires (nanosized carbon materials, e.g. carbon nanotubes, per se C01B 32/15; transparent electrodes comprising carbon nano-tubes H10K 30/821, nanotechnology per se B82B)} [2023-02]
H01L 29/417
. . .
carrying the current to be rectified, amplified or switched [2013-01]
H01L 29/41708
. . . .
{Emitter or collector electrodes for bipolar transistors} [2013-01]
H01L 29/41716
. . . .
{Cathode or anode electrodes for thyristors} [2013-01]
H01L 29/41725
. . . .
{Source or drain electrodes for field effect devices (with monocrystalline semiconductor on source/drain region H01L 29/0843)} [2013-01]
H01L 29/41733
. . . . .
{for thin film transistors with insulated gate} [2013-01]
H01L 29/41741
. . . . .
{for vertical or pseudo-vertical devices} [2013-01]
NOTE

  • A pseudo-vertical device is a device with the drain and source electrodes on the same main surface and where the main current is vertical at least in a part of its path
H01L 29/4175
. . . . .
{for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole} [2013-01]
NOTE

  • The sink or via-hole leading to the source or drain region is considered to form part of the source or drain electrode
H01L 29/41758
. . . . .
{for lateral devices with structured layout for source or drain region, i.e. the source or drain region having cellular, interdigitated or ring structure or being curved or angular (H01L 29/41733 - H01L 29/4175 take precedence)} [2016-05]
NOTE

  • Interdigitated structure means that at least one of the source or drain region has two or more fingers
H01L 29/41766
. . . . .
{with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor (H01L 29/41733 - H01L 29/41758 take precedence)} [2016-05]
H01L 29/41775
. . . . .
{characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode} [2013-01]
H01L 29/41783
. . . . . .
{Raised source or drain electrodes self aligned with the gate} [2013-01]
H01L 29/41791
. . . . .
{for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET} [2013-01]
H01L 29/423
. . .
not carrying the current to be rectified, amplified or switched [2013-01]
H01L 29/42304
. . . .
{Base electrodes for bipolar transistors} [2013-01]
H01L 29/42308
. . . .
{Gate electrodes for thyristors} [2013-01]
H01L 29/42312
. . . .
{Gate electrodes for field effect devices} [2013-01]
H01L 29/42316
. . . . .
{for field-effect transistors} [2013-01]
H01L 29/4232
. . . . . .
{with insulated gate} [2013-01]
H01L 29/42324
. . . . . . .
{Gate electrodes for transistors with a floating gate} [2013-01]
H01L 29/42328
. . . . . . . .
{with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate} [2013-01]
H01L 29/42332
. . . . . . . .
{with the floating gate formed by two or more non connected parts, e.g. multi-particles flating gate} [2013-01]
H01L 29/42336
. . . . . . . .
{with one gate at least partly formed in a trench} [2013-01]
H01L 29/4234
. . . . . . .
{Gate electrodes for transistors with charge trapping gate insulator} [2013-01]
H01L 29/42344
. . . . . . . .
{with at least one additional gate, e.g. program gate, erase gate or select gate} [2013-01]
H01L 29/42348
. . . . . . . .
{with trapping site formed by at least two separated sites, e.g. multi-particles trapping site} [2013-01]
H01L 29/42352
. . . . . . . .
{with the gate at least partly formed in a trench} [2013-01]
H01L 29/42356
. . . . . . .
{Disposition, e.g. buried gate electrode (H01L 29/42324 and H01L 29/4234 take precedence)} [2015-10]
H01L 29/4236
. . . . . . . .
{within a trench, e.g. trench gate electrode, groove gate electrode} [2013-01]
H01L 29/42364
. . . . . . .
{characterised by the insulating layer, e.g. thickness or uniformity (H01L 29/42324 and H01L 29/4234 take precedence)} [2015-09]
H01L 29/42368
. . . . . . . .
{the thickness being non-uniform} [2013-01]
H01L 29/42372
. . . . . . .
{characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out (H01L 29/42324 takes precedence)} [2013-01]
H01L 29/42376
. . . . . . . .
{characterised by the length or the sectional shape} [2013-01]
H01L 29/4238
. . . . . . . .
{characterised by the surface lay-out} [2013-01]
H01L 29/42384
. . . . . . .
{for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor} [2013-01]
H01L 2029/42388
. . . . . . . .
{characterised by the shape of the insulating material} [2013-01]
H01L 29/42392
. . . . . . . .
{fully surrounding the channel, e.g. gate-all-around} [2013-01]
H01L 29/42396
. . . . .
{for charge coupled devices} [2013-01]
H01L 29/43
. .
characterised by the materials of which they are formed [2013-01]
H01L 29/432
. . .
{Heterojunction gate for field effect devices} [2013-01]
H01L 29/435
. . .
{Resistive materials for field effect devices, e.g. resistive gate for MOSFET or MESFET} [2013-01]
H01L 29/437
. . .
{Superconductor materials} [2013-01]
H01L 29/45
. . .
Ohmic electrodes [2013-01]
H01L 29/452
. . . .
{on AIII-BV compounds} [2013-01]
H01L 29/454
. . . . .
{on thin film AIII-BV compounds} [2013-01]
H01L 29/456
. . . .
{on silicon} [2013-01]
H01L 29/458
. . . . .
{for thin film silicon, e.g. source or drain electrode} [2013-01]
H01L 29/47
. . .
Schottky barrier electrodes {(H01L 29/435 takes precedence)} [2013-01]
H01L 29/475
. . . .
{on AIII-BV compounds} [2013-01]
H01L 29/49
. . .
Metal-insulator-semiconductor electrodes, {e.g. gates of MOSFET (H01L 29/435 takes precedence)} [2013-01]
NOTE

  • This group covers also devices using any other conductor material in place of metal
H01L 29/4908
. . . .
{for thin film semiconductor, e.g. gate of TFT} [2013-01]
H01L 29/4916
. . . .
{the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen (H01L 29/4908, H01L 29/4983 take precedence)} [2013-01]
H01L 29/4925
. . . . .
{with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement (with only a vertical doping structure or vertical doping variation H01L 29/4916)} [2013-01]
H01L 29/4933
. . . . . .
{with a silicide layer contacting the silicon layer, e.g. Polycide gate (with a barrier layer between the silicide and silicon layers H01L 29/4941)} [2013-01]
H01L 29/4941
. . . . . .
{with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon} [2013-01]
H01L 29/495
. . . .
{the conductor material next to the insulator being a simple metal, e.g. W, Mo (H01L 29/4908, H01L 29/4983 take precedence)} [2013-01]
H01L 29/4958
. . . . .
{with a multiple layer structure} [2013-01]
H01L 29/4966
. . . .
{the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 (H01L 29/4908, H01L 29/4983 take precedence)} [2013-01]
H01L 29/4975
. . . . .
{being a silicide layer, e.g. TiSi2} [2013-01]
H01L 29/4983
. . . .
{with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material} [2013-01]
H01L 29/4991
. . . . .
{comprising an air gap} [2015-10]
WARNING

H01L 29/51
. . . .
Insulating materials associated therewith {(for MIS structures on thin film semiconductor H01L 29/4908)} [2013-01]
H01L 29/511
. . . . .
{with a compositional variation, e.g. multilayer structures (H01L 29/516 takes precedence)} [2013-01]
H01L 29/512
. . . . . .
{the variation being parallel to the channel plane} [2013-01]
H01L 29/513
. . . . . .
{the variation being perpendicular to the channel plane} [2013-01]
H01L 29/515
. . . . .
{with cavities, e.g. containing a gas} [2013-01]
H01L 29/516
. . . . .
{with at least one ferroelectric layer} [2013-01]
H01L 29/517
. . . . .
{the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate (H01L 29/518 takes precedence)} [2013-01]
H01L 29/518
. . . . .
{the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material} [2013-01]
H01L 29/66
.
Types of semiconductor device {; Multistep manufacturing processes therefor} [2017-08]
H01L 29/66007
. .
{Multistep manufacturing processes} [2013-01]
H01L 29/66015
. . .
{of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene} [2013-01]
H01L 29/66022
. . . .
{the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices} [2013-01]
H01L 29/6603
. . . . .
{Diodes} [2013-01]
H01L 29/66037
. . . .
{the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices} [2013-01]
H01L 29/66045
. . . . .
{Field-effect transistors} [2013-01]
H01L 29/66053
. . .
{of devices having a semiconductor body comprising crystalline silicon carbide} [2013-01]
H01L 29/6606
. . . .
{the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices} [2013-01]
H01L 29/66068
. . . .
{the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices} [2013-01]
H01L 29/66075
. . .
{of devices having semiconductor bodies comprising group 14 or group 13/15 materials (comprising semiconducting carbon H01L 29/66015; comprising crystalline silicon carbide H01L 29/66053)} [2013-01]
H01L 29/66083
. . . .
{the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices} [2013-01]
H01L 29/6609
. . . . .
{Diodes} [2013-01]
H01L 29/66098
. . . . . .
{Breakdown diodes} [2013-01]
H01L 29/66106
. . . . . . .
{Zener diodes} [2013-01]
H01L 29/66113
. . . . . . .
{Avalanche diodes} [2013-01]
H01L 29/66121
. . . . . .
{Multilayer diodes, e.g. PNPN diodes} [2013-01]
H01L 29/66128
. . . . . .
{Planar diodes} [2013-01]
H01L 29/66136
. . . . . .
{PN junction diodes} [2013-01]
H01L 29/66143
. . . . . .
{Schottky diodes} [2013-01]
H01L 29/66151
. . . . . .
{Tunnel diodes (group 13/15 resonant tunneling diodes H01L 29/66219)} [2013-01]
H01L 29/66159
. . . . . .
{Transit time diodes, e.g. IMPATT, TRAPATT diodes} [2013-01]
H01L 29/66166
. . . . .
{Resistors with PN junction} [2013-01]
H01L 29/66174
. . . . .
{Capacitors with PN or Schottky junction, e.g. varactors (capacitors with PN junction combined with MOS control H01L 29/66189)} [2013-01]
H01L 29/66181
. . . . .
{Conductor-insulator-semiconductor capacitors, e.g. trench capacitors} [2013-01]
H01L 29/66189
. . . . . .
{with PN junction, e.g. hybrid capacitors} [2013-01]
H01L 29/66196
. . . . .
{with an active layer made of a group 13/15 material} [2013-01]
H01L 29/66204
. . . . . .
{Diodes} [2013-01]
H01L 29/66212
. . . . . . .
{Schottky diodes} [2013-01]
H01L 29/66219
. . . . . . .
{with a heterojunction, e.g. resonant tunneling diodes [RTD]} [2013-01]
H01L 29/66227
. . . .
{the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices} [2013-01]
H01L 29/66234
. . . . .
{Bipolar junction transistors [BJT]} [2013-01]
H01L 29/66242
. . . . . .
{Heterojunction transistors [HBT] (with an active layer made of a group 13/15 material H01L 29/66318)} [2013-01]
H01L 29/6625
. . . . . .
{Lateral transistors (H01L 29/66242 and H01L 29/66265 take precedence)} [2013-01]
H01L 29/66257
. . . . . .
{Schottky transistors} [2013-01]
H01L 29/66265
. . . . . .
{Thin film bipolar transistors (H01L 29/66242 takes precedence)} [2013-01]
H01L 29/66272
. . . . . .
{Silicon vertical transistors (H01L 29/66242, H01L 29/66257 and H01L 29/66265 take precedence)} [2013-01]
H01L 29/6628
. . . . . . .
{Inverse transistors} [2013-01]
H01L 29/66287
. . . . . . .
{with a single crystalline emitter, collector or base including extrinsic, link or graft base formed on the silicon substrate, e.g. by epitaxy, recrystallisation, after insulating device isolation (H01L 29/6628 takes precedence)} [2013-01]
H01L 29/66295
. . . . . . .
{with main current going through the whole silicon substrate, e.g. power bipolar transistor} [2013-01]
H01L 29/66303
. . . . . . . .
{with multi-emitter, e.g. interdigitated, multi-cellular or distributed emitter} [2013-01]
H01L 29/6631
. . . . . .
{with an active layer made of a group 13/15 material} [2013-01]
H01L 29/66318
. . . . . . .
{Heterojunction transistors} [2013-01]
H01L 29/66325
. . . . . .
{controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]} [2013-01]
H01L 29/66333
. . . . . . .
{Vertical insulated gate bipolar transistors} [2013-01]
H01L 29/6634
. . . . . . . .
{with a recess formed by etching in the source/emitter contact region (H01L 29/66348 takes precedence; etching of semiconductor bodies H01L 21/302)} [2013-01]
H01L 29/66348
. . . . . . . .
{with a recessed gate} [2013-01]
H01L 29/66356
. . . . .
{Gated diodes, e.g. field controlled diodes [FCD], static induction thyristors [SITh], field controlled thyristors [FCTh]} [2013-01]
H01L 29/66363
. . . . .
{Thyristors} [2013-01]
H01L 29/66371
. . . . . .
{structurally associated with another device, e.g. built-in diode (making integrated circuits H01L 21/82)} [2013-01]
H01L 29/66378
. . . . . . .
{the other device being a controlling field-effect device} [2013-01]
H01L 29/66386
. . . . . .
{Bidirectional thyristors} [2013-01]
H01L 29/66393
. . . . . .
{Lateral or planar thyristors} [2013-01]
H01L 29/66401
. . . . . .
{with an active layer made of a group 13/15 material} [2013-01]
H01L 29/66409
. . . . .
{Unipolar field-effect transistors} [2013-01]
H01L 29/66416
. . . . . .
{Static induction transistors [SIT] (with an active layer made of a group 13/15 material H01L 29/66454)} [2013-01]
H01L 29/66424
. . . . . . .
{Permeable base transistors [PBT]} [2013-01]
H01L 29/66431
. . . . . .
{with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT (with an active layer made of a group 13/15 material H01L 29/66462)} [2013-01]
H01L 29/66439
. . . . . .
{with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor (with an active layer made of a group 13/15 material H01L 29/66469)} [2013-01]
H01L 29/66446
. . . . . .
{with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]} [2013-01]
H01L 29/66454
. . . . . . .
{Static induction transistors [SIT], e.g. permeable base transistors [PBT]} [2013-01]
H01L 29/66462
. . . . . . .
{with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT} [2013-01]
H01L 29/66469
. . . . . . .
{with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors} [2013-01]
H01L 29/66477
. . . . . .
{with an insulated gate, i.e. MISFET} [2013-01]
H01L 29/66484
. . . . . . .
{with multiple gate, at least one gate being an insulated gate (H01L 29/66742 takes precedence)} [2013-01]
H01L 29/66492
. . . . . . .
{with a pocket or a lightly doped drain selectively formed at the side of the gate} [2013-01]
H01L 29/665
. . . . . . .
{using self aligned silicidation, i.e. salicide (formation of conductive layers comprising silicides H01L 21/28518)} [2013-01]
H01L 29/66507
. . . . . . . .
{providing different silicide thicknesses on the gate and on source or drain} [2013-01]
H01L 29/66515
. . . . . . .
{using self aligned selective metal deposition simultaneously on the gate and on source or drain} [2013-01]
H01L 29/66522
. . . . . . .
{with an active layer made of a group 13/15 material (H01L 29/66446 takes precedence)} [2013-01]
H01L 29/6653
. . . . . . .
{using the removal of at least part of spacer, e.g. disposable spacer} [2013-01]
H01L 29/66537
. . . . . . .
{using a self aligned punch through stopper or threshold implant under the gate region (H01L 29/66606 takes precedence)} [2013-01]
H01L 29/66545
. . . . . . .
{using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate} [2013-01]
H01L 29/66553
. . . . . . .
{using inside spacers, permanent or not} [2013-01]
H01L 29/6656
. . . . . . .
{using multiple spacer layers, e.g. multiple sidewall spacers} [2013-01]
H01L 29/66568
. . . . . . .
{Lateral single gate silicon transistors} [2013-01]
H01L 29/66575
. . . . . . . .
{where the source and drain or source and drain extensions are self-aligned to the sides of the gate (H01L 29/66606 takes precedence)} [2013-01]
H01L 29/66583
. . . . . . . . .
{with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts} [2013-01]
H01L 29/6659
. . . . . . . . .
{with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET} [2013-01]
H01L 29/66598
. . . . . . . . . .
{forming drain [D] and lightly doped drain [LDD] simultaneously, e.g. using implantation through the wings a T-shaped layer, or through a specially shaped layer} [2013-01]
H01L 29/66606
. . . . . . . .
{with final source and drain contacts formation strictly before final or dummy gate formation, e.g. contact first technology (H01L 29/66621 takes precedence)} [2013-01]
H01L 29/66613
. . . . . . . .
{with a gate recessing step, e.g. using local oxidation (making recessed gate LDMOS transistors H01L 29/66704)} [2013-01]
H01L 29/66621
. . . . . . . . .
{using etching to form a recess at the gate location (etching of semiconductor bodies H01L 21/302)} [2013-01]
H01L 29/66628
. . . . . . . . .
{recessing the gate by forming single crystalline semiconductor material at the source or drain location} [2013-01]
H01L 29/66636
. . . . . . . .
{with source or drain recessed by etching or first recessed by etching and then refilled} [2013-01]
H01L 29/66643
. . . . . . . .
{with source or drain regions formed by a Schottky barrier or a conductor-insulator-semiconductor structure} [2013-01]
H01L 29/66651
. . . . . . . .
{with a single crystalline channel formed on the silicon substrate after insulating device isolation} [2013-01]
H01L 29/66659
. . . . . . . .
{with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs} [2013-01]
H01L 29/66666
. . . . . . .
{Vertical transistors (H01L 29/66712, H01L 29/66742 take precedence)} [2013-01]
H01L 29/66674
. . . . . . .
{DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region (making lateral high-voltage MISFETs with channel well and drain offset region H01L 29/66659)} [2013-01]
H01L 29/66681
. . . . . . . .
{Lateral DMOS transistors, i.e. LDMOS transistors} [2015-10]
H01L 29/66689
. . . . . . . . .
{with a step of forming an insulating sidewall spacer (forming insulating material on a substrate H01L 21/02107)} [2013-01]
H01L 29/66696
. . . . . . . . .
{with a step of recessing the source electrode} [2013-01]
H01L 29/66704
. . . . . . . . .
{with a step of recessing the gate electrode, e.g. to form a trench gate electrode} [2013-01]
H01L 29/66712
. . . . . . . .
{Vertical DMOS transistors, i.e. VDMOS transistors} [2013-01]
H01L 29/66719
. . . . . . . . .
{With a step of forming an insulating sidewall spacer} [2015-10]
H01L 29/66727
. . . . . . . . .
{with a step of recessing the source electrode} [2013-01]
H01L 29/66734
. . . . . . . . .
{with a step of recessing the gate electrode, e.g. to form a trench gate electrode} [2013-01]
H01L 29/66742
. . . . . . .
{Thin film unipolar transistors} [2013-01]
H01L 29/6675
. . . . . . . .
{Amorphous silicon or polysilicon transistors} [2013-01]
H01L 29/66757
. . . . . . . . .
{Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate} [2013-01]
H01L 29/66765
. . . . . . . . .
{Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate} [2013-01]
H01L 29/66772
. . . . . . . .
{Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates (H01L 29/66666 takes precedence; thin film FinFETs H01L 29/66795)} [2013-01]
H01L 29/6678
. . . . . . . . .
{on sapphire substrates, e.g. SOS transistors} [2013-01]
H01L 29/66787
. . . . . . .
{with a gate at the side of the channel} [2013-01]
H01L 29/66795
. . . . . . . .
{with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET} [2013-01]
H01L 29/66803
. . . . . . . . .
{with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants} [2013-01]
H01L 29/6681
. . . . . . . . .
{using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability} [2013-01]
H01L 29/66818
. . . . . . . . .
{the channel being thinned after patterning, e.g. sacrificial oxidation on fin} [2013-01]
H01L 29/66825
. . . . . . .
{with a floating gate (H01L 29/6684 takes precedence)} [2013-01]
H01L 29/66833
. . . . . . .
{with a charge trapping gate insulator, e.g. MNOS transistors} [2013-01]
H01L 29/6684
. . . . . . .
{with a ferroelectric gate insulator} [2013-01]
H01L 29/66848
. . . . . .
{with a Schottky gate, i.e. MESFET} [2013-01]
H01L 29/66856
. . . . . . .
{with an active layer made of a group 13/15 material (H01L 29/66446 takes precedence)} [2013-01]
H01L 29/66863
. . . . . . . .
{Lateral single gate transistors} [2013-01]
H01L 29/66871
. . . . . . . . .
{Processes wherein the final gate is made after the formation of the source and drain regions in the active layer, e.g. dummy-gate processes} [2013-01]
H01L 29/66878
. . . . . . . . .
{Processes wherein the final gate is made before the formation, e.g. activation anneal, of the source and drain regions in the active layer} [2013-01]
H01L 29/66886
. . . . . . . . .
{Lateral transistors with two or more independent gates} [2013-01]
H01L 29/66893
. . . . . .
{with a PN junction gate, i.e. JFET} [2013-01]
H01L 29/66901
. . . . . . .
{with a PN homojunction gate} [2013-01]
H01L 29/66909
. . . . . . . .
{Vertical transistors, e.g. tecnetrons} [2013-01]
H01L 29/66916
. . . . . . .
{with a PN heterojunction gate} [2013-01]
H01L 29/66924
. . . . . . .
{with an active layer made of a group 13/15 material (H01L 29/66446 takes precedence)} [2013-01]
H01L 29/66931
. . . . .
{BJT-like unipolar transistors, e.g. hot electron transistors [HET], metal base transistors [MBT], resonant tunneling transistor [RTT], bulk barrier transistor [BBT], planar doped barrier transistor [PDBT], charge injection transistor [CHINT]} [2013-01]
H01L 29/66939
. . . . . .
{with an active layer made of a group 13/15 material} [2013-01]
H01L 29/66946
. . . . .
{Charge transfer devices} [2013-01]
H01L 29/66954
. . . . . .
{with an insulated gate} [2013-01]
H01L 29/66962
. . . . . .
{with a Schottky gate} [2013-01]
H01L 29/66969
. . .
{of devices having semiconductor bodies not comprising group 14 or group 13/15 materials (comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials, comprising cuprous oxide or cuprous iodide H01L 21/02365)} [2013-01]
H01L 29/66977
. .
{Quantum effect devices, e.g. using quantum reflection, diffraction or interference effects, i.e. Bragg- or Aharonov-Bohm effects} [2013-01]
H01L 29/66984
. .
{Devices using spin polarized carriers} [2013-01]
H01L 29/66992
. .
{controllable only by the variation of applied heat (controllable by IR radiation H01L 31/00; measuring quantity of heat G01K 17/00)} [2013-01]
H01L 29/68
. .
controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched [2013-01]
H01L 29/685
. . .
{Hi-Lo semiconductor devices, e.g. memory devices} [2013-01]
H01L 29/70
. . .
Bipolar devices [2013-01]
H01L 29/705
. . . .
{Double base diodes} [2013-01]
H01L 29/72
. . . .
Transistor-type devices, i.e. able to continuously respond to applied control signals [2013-01]
H01L 29/73
. . . . .
Bipolar junction transistors [2013-01]
H01L 29/7302
. . . . . .
{structurally associated with other devices (assemblies of devices H01L 25/00; integrated circuits H01L 27/00; IGBT H01L 29/7393)} [2013-01]
H01L 29/7304
. . . . . . .
{the device being a resistive element, e.g. ballasting resistor (transistors integrated with resistors H01L 27/075)} [2013-01]
H01L 29/7306
. . . . . .
{Point contact transistors} [2013-01]
H01L 29/7308
. . . . . .
{Schottky transistors} [2013-01]
H01L 29/7311
. . . . . .
{Tunnel transistors} [2013-01]
H01L 29/7313
. . . . . .
{Avalanche transistors} [2013-01]
H01L 29/7315
. . . . . .
{Transistors with hook collector} [2013-01]
H01L 29/7317
. . . . . .
{Bipolar thin film transistors} [2013-01]
H01L 29/732
. . . . . .
Vertical transistors [2013-01]
H01L 29/7322
. . . . . . .
{having emitter-base and base-collector junctions leaving at the same surface of the body, e.g. planar transistor} [2013-01]
H01L 29/7325
. . . . . . .
{having an emitter-base junction leaving at a main surface and a base-collector junction leaving at a peripheral surface of the body, e.g. mesa planar transistor} [2013-01]
H01L 29/7327
. . . . . . .
{Inverse vertical transistors} [2013-01]
H01L 29/735
. . . . . .
Lateral transistors [2013-01]
H01L 29/737
. . . . . .
Hetero-junction transistors [2013-01]
H01L 29/7371
. . . . . . .
{Vertical transistors} [2013-01]
H01L 29/7373
. . . . . . . .
{having a two-dimensional base, e.g. modulation-doped base, inversion layer base, delta-doped base} [2013-01]
H01L 29/7375
. . . . . . . .
{having an emitter comprising one or more non-monocrystalline elements of group IV, e.g. amorphous silicon, alloys comprising group IV elements} [2013-01]
H01L 29/7376
. . . . . . . .
{Resonant tunnelling transistors} [2013-01]
H01L 29/7378
. . . . . . . .
{comprising lattice mismatched active layers, e.g. SiGe strained layer transistors} [2013-01]
H01L 29/739
. . . . .
controlled by field-effect, {e.g. bipolar static induction transistors [BSIT] (unijunction transistors H01L 29/705)} [2013-01]
H01L 29/7391
. . . . . .
{Gated diode structures} [2013-01]
H01L 29/7392
. . . . . . .
{with PN junction gate, e.g. field controlled thyristors (FCTh), static induction thyristors (SITh)} [2013-01]
H01L 29/7393
. . . . . .
{Insulated gate bipolar mode transistors, i.e. IGBT; IGT; COMFET} [2013-01]
H01L 29/7394
. . . . . . .
{on an insulating layer or substrate, e.g. thin film device or device isolated from the bulk substrate (H01L 29/7398 takes precedence)} [2013-01]
H01L 29/7395
. . . . . . .
{Vertical transistors, e.g. vertical IGBT} [2013-01]
NOTE

  • The transistor is called vertical if the emitter and the collector are not on the same main surface or, if they are on the same main surface, at least a part of the main current has a component substantially not parallel to the main surface
H01L 29/7396
. . . . . . . .
{with a non planar surface, e.g. with a non planar gate or with a trench or recess or pillar in the surface of the emitter, base or collector region for improving current density or short circuiting the emitter and base regions (H01L 29/7398 takes precedence)} [2013-01]
H01L 29/7397
. . . . . . . . .
{and a gate structure lying on a slanted or vertical surface or formed in a groove, e.g. trench gate IGBT} [2013-01]
H01L 29/7398
. . . . . . . .
{with both emitter and collector contacts in the same substrate side} [2013-01]
H01L 29/74
. . . .
Thyristor-type devices, e.g. having four-zone regenerative action {(two-terminal thyristors H01L 29/87)} [2013-01]
H01L 29/7404
. . . . .
{structurally associated with at least one other device (assemblies H01L 25/00; integrated circuits H01L 27/00)} [2013-01]
H01L 29/7408
. . . . . .
{the device being a capacitor or a resistor} [2013-01]
H01L 29/7412
. . . . . .
{the device being a diode} [2013-01]
H01L 29/7416
. . . . . . .
{the device being an antiparallel diode, e.g. RCT (shorted anode structures enabling reverse conduction H01L 29/0834)} [2013-01]
H01L 29/742
. . . . . .
{the device being a field effect transistor (for turn-on or turn-off by field effect H01L 29/745, H01L 29/749)} [2013-01]
H01L 29/7424
. . . . .
{having a built-in localised breakdown/breakover region, e.g. self-protected against destructive spontaneous, e.g. voltage breakover, firing} [2013-01]
H01L 29/7428
. . . . .
{having an amplifying gate structure, e.g. cascade (Darlington) configuration} [2013-01]
H01L 29/7432
. . . . .
{Asymmetrical thyristors (with a particular shorted anode structure H01L 29/0834)} [2013-01]
H01L 29/7436
. . . . .
{Lateral thyristors} [2013-01]
H01L 29/744
. . . . .
Gate-turn-off devices [2013-01]
H01L 29/745
. . . . . .
with turn-off by field effect [2013-01]
H01L 29/7455
. . . . . . .
{produced by an insulated gate structure} [2013-01]
H01L 29/747
. . . . .
Bidirectional devices, e.g. triacs [2013-01]
H01L 29/749
. . . . .
with turn-on by field effect [2013-01]
H01L 29/76
. . .
Unipolar devices {, e.g. field effect transistors} [2017-08]
H01L 29/7606
. . . .
{Transistor-like structures, e.g. hot electron transistor [HET]; metal base transistor [MBT]} [2013-01]
H01L 29/7613
. . . .
{Single electron transistors; Coulomb blockade devices (H01L 29/7888 takes precedence)} [2013-01]
H01L 29/762
. . . .
Charge transfer devices [2013-01]
H01L 29/765
. . . . .
Charge-coupled devices {(peripheral circuits for CCD storage devices G11C 19/285)} [2013-01]
H01L 29/768
. . . . . .
with field effect produced by an insulated gate [2013-01]
H01L 29/76808
. . . . . . .
{Input structures} [2013-01]
H01L 29/76816
. . . . . . .
{Output structures} [2013-01]
H01L 29/76825
. . . . . . .
{Structures for regeneration, refreshing, leakage compensation or the like} [2013-01]
H01L 29/76833
. . . . . . .
{Buried channel CCD} [2013-01]
H01L 29/76841
. . . . . . . .
{Two-Phase CCD} [2013-01]
H01L 29/7685
. . . . . . . .
{Three-Phase CCD} [2013-01]
H01L 29/76858
. . . . . . . .
{Four-Phase CCD} [2013-01]
H01L 29/76866
. . . . . . .
{Surface Channel CCD} [2013-01]
H01L 29/76875
. . . . . . . .
{Two-Phase CCD} [2013-01]
H01L 29/76883
. . . . . . . .
{Three-Phase CCD} [2013-01]
H01L 29/76891
. . . . . . . .
{Four-Phase CCD} [2013-01]
H01L 29/772
. . . .
Field effect transistors [2013-01]
H01L 29/7722
. . . . .
{using static field induced regions, e.g. SIT, PBT} [2013-01]
H01L 29/7725
. . . . .
{with delta-doped channel (H01L 29/778 takes precedence)} [2013-01]
H01L 29/7727
. . . . .
{Velocity modulation transistors, i.e. VMT} [2013-01]
H01L 29/775
. . . . .
with one dimensional charge carrier gas channel, e.g. quantum wire FET [2013-01]
H01L 29/778
. . . . .
with two-dimensional charge carrier gas channel, e.g. HEMT {; with two-dimensional charge-carrier layer formed at a heterojunction interface (H01L 29/803 takes precedence)} [2015-11]
H01L 29/7781
. . . . . .
{with inverted single heterostructure, i.e. with active layer formed on top of wide bandgap layer, e.g. IHEMT} [2015-11]
H01L 29/7782
. . . . . .
{with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET} [2015-11]
H01L 29/7783
. . . . . . .
{using III-V semiconductor material} [2015-11]
H01L 29/7784
. . . . . . . .
{with delta or planar doped donor layer (H01L 29/7785 takes precedence)} [2015-11]
H01L 29/7785
. . . . . . . .
{with more than one donor layer} [2015-11]
H01L 29/7786
. . . . . .
{with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT} [2015-11]
H01L 29/7787
. . . . . . .
{with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET} [2015-11]
H01L 29/7788
. . . . . .
{Vertical transistors} [2015-11]
H01L 29/7789
. . . . . .
{the two-dimensional charge carrier gas being at least partially not parallel to a main surface of the semiconductor body} [2015-11]
H01L 29/78
. . . . .
with field effect produced by an insulated gate {(H01L 29/7725, H01L 29/775, H01L 29/778 take precedence)} [2013-01]
H01L 29/7801
. . . . . .
{DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region (lateral high-voltage MISFETs with channel well and drain offset region H01L 29/7835)} [2013-01]
H01L 29/7802
. . . . . . .
{Vertical DMOS transistors, i.e. VDMOS transistors} [2013-01]
H01L 29/7803
. . . . . . . .
{structurally associated with at least one other device (assemblies H01L 25/00; integrated circuits H01L 27/00)} [2015-10]
WARNING

H01L 29/7804
. . . . . . . . .
{the other device being a pn-junction diode} [2013-01]
H01L 29/7805
. . . . . . . . . .
{in antiparallel, e.g. freewheel diode} [2013-01]
H01L 29/7806
. . . . . . . . .
{the other device being a Schottky barrier diode} [2013-01]
H01L 29/7808
. . . . . . . . .
{the other device being a breakdown diode, e.g. Zener diode} [2013-01]
H01L 29/7809
. . . . . . . .
{having both source and drain contacts on the same surface, i.e. Up-Drain VDMOS transistors} [2013-01]
H01L 29/781
. . . . . . . .
{Inverted VDMOS transistors, i.e. Source-Down VDMOS transistors} [2013-01]
H01L 29/7811
. . . . . . . .
{with an edge termination structure (guard regions per se H01L 29/0619; field plates per se H01L 29/402)} [2015-10]
WARNING

H01L 29/7812
. . . . . . . .
{with a substrate comprising an insulating layer, e.g. SOI-VDMOS transistors} [2013-01]
H01L 29/7813
. . . . . . . .
{with trench gate electrode, e.g. UMOS transistors (trench gate electrodes per se H01L 29/4236)} [2013-01]
H01L 29/7815
. . . . . . . .
{with voltage or current sensing structure, e.g. emulator section, overcurrent sensing cell} [2015-10]
WARNING

H01L 29/7816
. . . . . . .
{Lateral DMOS transistors, i.e. LDMOS transistors} [2018-08]
H01L 29/7817
. . . . . . . .
{structurally associated with at least one other device (assemblies H01L 25/00; integrated circuits H01L 27/00)} [2013-01]
H01L 29/7818
. . . . . . . . .
{the other device being a pn-junction diode} [2013-01]
H01L 29/7819
. . . . . . . . . .
{in antiparallel, e.g. freewheel diode} [2013-01]
H01L 29/782
. . . . . . . . .
{the other device being a Schottky barrier diode} [2013-01]
H01L 29/7821
. . . . . . . . .
{the other device being a breakdown diode, e.g. Zener diode} [2013-01]
H01L 29/7823
. . . . . . . .
{with an edge termination structure (guard regions per se H01L 29/0619; field plates per se H01L 29/402)} [2013-01]
H01L 29/7824
. . . . . . . .
{with a substrate comprising an insulating layer, e.g. SOI-LDMOS transistors} [2013-01]
H01L 29/7825
. . . . . . . .
{with trench gate electrode (trench gate electrodes per se H01L 29/4236)} [2013-01]
H01L 29/7826
. . . . . . . .
{with voltage or current sensing structure, e.g. emulator section, overcurrent sensing cell} [2013-01]
H01L 29/7827
. . . . . .
{Vertical transistors (H01L 29/7802, H01L 29/78642 take precedence)} [2013-01]
H01L 29/7828
. . . . . . .
{without inversion channel, e.g. vertical ACCUFETs, normally-on vertical MISFETs} [2015-10]
H01L 29/783
. . . . . .
{comprising a gate to body connection, i.e. bulk dynamic threshold voltage MOSFET (for thin film transistors H01L 29/78612, H01L 29/78696)} [2013-01]
H01L 29/7831
. . . . . .
{with multiple gate structure (FinFETs or MuGFETs H01L 29/7855, thin film transistors H01L 29/78645)} [2013-01]
H01L 29/7832
. . . . . . .
{the structure comprising a MOS gate and at least one non-MOS gate, e.g. JFET or MESFET gate} [2013-01]
H01L 29/7833
. . . . . .
{with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's (for thin film transistors H01L 29/78618)} [2013-01]
H01L 29/7834
. . . . . . .
{with a non-planar structure, e.g. the gate or the source or the drain being non-planar} [2013-01]
NOTE

  • Field oxide sunken in the substrate and not filling a groove is not an element characterising a non-planar structure
H01L 29/7835
. . . . . . .
{with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs} [2013-01]
H01L 29/7836
. . . . . . .
{with a significant overlap between the lightly doped extension and the gate electrode (H01L 29/7834, H01L 29/7835 take precedence)} [2013-01]
H01L 29/7838
. . . . . .
{without inversion channel, e.g. buried channel lateral MISFETs, normally-on lateral MISFETs, depletion-mode lateral MISFETs} [2022-02]
H01L 29/7839
. . . . . .
{with Schottky drain or source contact} [2013-01]
H01L 29/78391
. . . . . .
{the gate comprising a layer which is used for its ferroelectric properties} [2014-09]
H01L 29/7841
. . . . . .
{with floating body, e.g. programmable transistors} [2013-01]
H01L 29/7842
. . . . . .
{means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate (variation of the composition of the channel H01L 29/1054)} [2015-10]
H01L 29/7843
. . . . . . .
{the means being an applied insulating layer} [2013-01]
H01L 29/7845
. . . . . . .
{the means being a conductive material, e.g. silicided S/D or Gate} [2013-01]
H01L 29/7846
. . . . . . .
{the means being located in the lateral device isolation region, e.g. STI} [2013-01]
H01L 29/7847
. . . . . . .
{using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region} [2013-01]
H01L 29/7848
. . . . . . .
{the means being located in the source/drain region, e.g. SiGe source and drain} [2013-01]
H01L 29/7849
. . . . . . .
{the means being provided under the channel} [2013-01]
H01L 29/785
. . . . . .
{having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET} [2013-01]
H01L 29/7851
. . . . . . .
{with the body tied to the substrate} [2013-01]
H01L 29/7853
. . . . . . .
{the body having a non-rectangular crossection} [2013-01]
H01L 29/7854
. . . . . . . .
{with rounded corners} [2013-01]
H01L 29/7855
. . . . . . .
{with at least two independent gates} [2013-01]
H01L 29/7856
. . . . . . .
{with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides (H01L 29/7855 takes precedence)} [2013-01]
H01L 2029/7857
. . . . . . .
{of the accumulation type} [2013-01]
H01L 2029/7858
. . . . . . .
{having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts} [2013-01]
H01L 29/786
. . . . . .
Thin film transistors, {i.e. transistors with a channel being at least partly a thin film (transistors having only the source or the drain region on an insulator layer H01L 29/0653; thin film FinFETs H01L 29/785)} [2021-01]
NOTE

H01L 29/78603
. . . . . . .
{characterised by the insulating substrate or support (H01L 29/78657 takes precedence)} [2013-01]
H01L 29/78606
. . . . . . .
{with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device (H01L 29/78642, H01L 29/78645 take precedence)} [2013-01]
H01L 29/78609
. . . . . . . .
{for preventing leakage current (H01L 29/78618 takes precedence)} [2013-01]
H01L 29/78612
. . . . . . . .
{for preventing the kink- or the snapback effect, e.g. discharging the minority carriers of the channel region for preventing bipolar effect} [2013-01]
H01L 29/78615
. . . . . . . . .
{with a body contact} [2013-01]
H01L 29/78618
. . . . . . . .
{characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure (silicide contacts, electrodes in general H01L 29/458)} [2013-01]
H01L 29/78621
. . . . . . . . .
{with LDD structure or an extension or an offset region or characterised by the doping profile} [2013-01]
H01L 29/78624
. . . . . . . . . .
{the source and the drain regions being asymmetrical} [2013-01]
H01L 29/78627
. . . . . . . . . .
{with a significant overlap between the lightly doped drain and the gate electrode, e.g. GOLDD} [2013-01]
H01L 2029/7863
. . . . . . . . . .
{with an LDD consisting of more than one lightly doped zone or having a non-homogeneous dopant distribution, e.g. graded LDD} [2013-01]
H01L 29/78633
. . . . . . . .
{with a light shield} [2013-01]
H01L 29/78636
. . . . . . . .
{with supplementary region or layer for improving the flatness of the device} [2013-01]
H01L 29/78639
. . . . . . . .
{with a drain or source connected to a bulk conducting substrate} [2013-01]
H01L 29/78642
. . . . . . .
{Vertical transistors} [2013-01]
H01L 29/78645
. . . . . . .
{with multiple gate} [2021-01]
H01L 29/78648
. . . . . . . .
{arranged on opposing sides of the channel} [2013-01]
H01L 29/78651
. . . . . . .
{Silicon transistors (H01L 29/78606 - H01L 29/78645 take precedence)} [2016-05]
H01L 29/78654
. . . . . . . .
{Monocrystalline silicon transistors} [2013-01]
H01L 29/78657
. . . . . . . . .
{SOS transistors} [2013-01]
H01L 29/7866
. . . . . . . .
{Non-monocrystalline silicon transistors} [2013-01]
H01L 29/78663
. . . . . . . . .
{Amorphous silicon transistors} [2013-01]
H01L 29/78666
. . . . . . . . . .
{with normal-type structure, e.g. with top gate} [2013-01]
H01L 29/78669
. . . . . . . . . .
{with inverted-type structure, e.g. with bottom gate} [2013-01]
H01L 29/78672
. . . . . . . . .
{Polycrystalline or microcrystalline silicon transistor} [2013-01]
H01L 29/78675
. . . . . . . . . .
{with normal-type structure, e.g. with top gate} [2013-01]
H01L 29/78678
. . . . . . . . . .
{with inverted-type structure, e.g. with bottom gate} [2013-01]
H01L 29/78681
. . . . . . .
{having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te} [2016-11]
H01L 29/78684
. . . . . . .
{having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys (H01L 29/7869 takes precedence)} [2016-11]
H01L 29/78687
. . . . . . . .
{with a multilayer structure or superlattice structure} [2013-01]
H01L 29/7869
. . . . . . .
{having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate} [2013-01]
H01L 29/78693
. . . . . . . .
{the semiconducting oxide being amorphous} [2013-01]
H01L 29/78696
. . . . . . .
{characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel (H01L 29/78612 takes precedence; transistors having a drain offset region or a lightly doped drain [LDD] H01L 29/78621)} [2013-01]
H01L 29/788
. . . . . .
with floating gate {(H01L 29/78391 takes precedence)} [2013-01]
H01L 29/7881
. . . . . . .
{Programmable transistors with only two possible levels of programmation (H01L 29/7888 takes precedence)} [2013-01]
H01L 29/7882
. . . . . . . .
{charging by injection of carriers through a conductive insulator, e.g. Poole-Frankel conduction} [2013-01]
H01L 29/7883
. . . . . . . .
{charging by tunnelling of carriers, e.g. Fowler-Nordheim tunnelling} [2013-01]
H01L 29/7884
. . . . . . . .
{charging by hot carrier injection} [2013-01]
H01L 29/7885
. . . . . . . . .
{Hot carrier injection from the channel} [2013-01]
H01L 29/7886
. . . . . . . . .
{Hot carrier produced by avalanche breakdown of a PN junction, e.g. FAMOS} [2013-01]
H01L 29/7887
. . . . . . .
{Programmable transistors with more than two possible different levels of programmation} [2013-01]
H01L 29/7888
. . . . . . .
{Transistors programmable by two single electrons} [2013-01]
H01L 29/7889
. . . . . . .
{Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane} [2013-01]
H01L 29/792
. . . . . .
with charge trapping gate insulator, e.g. MNOS-memory transistors [2013-01]
H01L 29/7923
. . . . . . .
{Programmable transistors with more than two possible different levels of programmation} [2013-01]
H01L 29/7926
. . . . . . .
{Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane} [2013-01]
H01L 29/80
. . . . .
with field effect produced by a PN or other rectifying junction gate {, i.e. potential-jump barrier} [2017-08]
H01L 29/802
. . . . . .
{with heterojunction gate, e.g. transistors with semiconductor layer acting as gate insulating layer, MIS-like transistors (H01L 29/806 takes precedence; with one dimensional electron gas H01L 29/775; with dimensional electron gas H01L 29/778)} [2013-01]
H01L 29/803
. . . . . . .
{Programmable transistors, e.g. with charge-trapping quantum well} [2014-09]
H01L 29/806
. . . . . .
{with Schottky drain or source contact} [2013-01]
H01L 29/808
. . . . . .
with a PN junction gate {, e.g. PN homojunction gate (H01L 29/7725, H01L 29/775, H01L 29/778, H01L 29/806 take precedence)} [2017-08]
H01L 29/8083
. . . . . . .
{Vertical transistors (SIT H01L 29/7722)} [2013-01]
H01L 29/8086
. . . . . . .
{Thin film JFET's} [2013-01]
H01L 29/812
. . . . . .
with a Schottky gate {(H01L 29/7725, H01L 29/775, H01L 29/778, H01L 29/806 take precedence; with Schottky contact on top of heterojunction gate H01L 29/802)} [2013-01]
H01L 29/8122
. . . . . . .
{Vertical transistors (SIT, PBT H01L 29/7722)} [2013-01]
H01L 29/8124
. . . . . . .
{with multiple gate} [2013-01]
H01L 29/8126
. . . . . . .
{Thin film MESFET's} [2013-01]
H01L 29/8128
. . . . . . .
{with recessed gate} [2013-01]
H01L 29/82
. .
controllable by variation of the magnetic field applied to the device [2013-01]
H01L 29/84
. .
controllable by variation of applied mechanical force, e.g. of pressure [2013-01]
H01L 29/86
. .
controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched [2013-01]
H01L 29/8605
. . .
Resistors with PN junctions [2013-01]
H01L 29/861
. . .
Diodes [2013-01]
H01L 29/8611
. . . .
{Planar PN junction diodes} [2013-01]
H01L 29/8613
. . . .
{Mesa PN junction diodes} [2013-01]
H01L 29/8615
. . . .
{Hi-lo semiconductor devices, e.g. memory devices} [2013-01]
H01L 29/8616
. . . .
{Charge trapping diodes} [2013-01]
H01L 29/8618
. . . .
{Diodes with bulk potential barrier, e.g. Camel diodes, Planar Doped Barrier diodes, Graded bandgap diodes} [2013-01]
H01L 29/862
. . . .
Point contact diodes [2013-01]
H01L 29/864
. . . .
Transit-time diodes, e.g. IMPATT, TRAPATT diodes [2013-01]
H01L 29/866
. . . .
Zener diodes [2013-01]
H01L 29/868
. . . .
PIN diodes [2013-01]
H01L 29/87
. . . .
Thyristor diodes, e.g. Shockley diodes, break-over diodes [2013-01]
H01L 29/872
. . . .
Schottky diodes [2013-01]
H01L 29/8725
. . . . .
{of the trench MOS barrier type [TMBS]} [2013-01]
H01L 29/88
. . . .
Tunnel-effect diodes [2013-01]
H01L 29/882
. . . . .
{Resonant tunneling diodes, i.e. RTD, RTBD} [2013-01]
H01L 29/885
. . . . .
Esaki diodes [2013-01]
H01L 29/92
. . .
Capacitors having potential barriers [2024-01]
H01L 29/93
. . . .
Variable capacitance diodes, e.g. varactors [2013-01]
H01L 29/94
. . . .
Metal-insulator-semiconductors, e.g. MOS [2013-01]
H01L 29/945
. . . . .
{Trench capacitors} [2013-01]
H01L 31/00
Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof (H10K 30/00 takes precedence; devices consisting of a plurality of solid state components formed in, or on, a common substrate, other than combinations of radiation-sensitive components with one or more electric light sources, H01L 27/00) [2023-08]
H01L 31/02
.
Details [2013-01]
H01L 31/02002
. .
{Arrangements for conducting electric current to or from the device in operations} [2013-01]
H01L 31/02005
. . .
{for device characterised by at least one potential jump barrier or surface barrier} [2013-01]
H01L 31/02008
. . . .
{for solar cells or solar cell modules} [2013-01]
H01L 31/0201
. . . . .
{comprising specially adapted module bus-bar structures} [2013-01]
H01L 31/02013
. . . . .
{comprising output lead wires elements} [2013-01]
H01L 31/02016
. .
{Circuit arrangements of general character for the devices} [2013-01]
H01L 31/02019
. . .
{for devices characterised by at least one potential jump barrier or surface barrier} [2013-01]
H01L 31/02021
. . . .
{for solar cells (electrical connection means, e.g. junction boxes, specially adapted for structural association with photovoltaic modules H02S 40/34)} [2017-08]
H01L 31/02024
. . . .
{Position sensitive and lateral effect photodetectors; Quadrant photodiodes} [2013-01]
H01L 31/02027
. . . .
{for devices working in avalanche mode} [2013-01]
H01L 31/0203
. .
Containers; Encapsulations {, e.g. encapsulation of photodiodes}(for photovoltaic devices H01L 31/048; for organic photosensitive devices H10K 30/80) [2023-02]
H01L 31/0216
. .
Coatings (H01L 31/041 takes precedence) [2014-12]
H01L 31/02161
. . .
{for devices characterised by at least one potential jump barrier or surface barrier} [2013-01]
H01L 31/02162
. . . .
{for filtering or shielding light, e.g. multicolour filters for photodetectors} [2013-01]
H01L 31/02164
. . . . .
{for shielding light, e.g. light blocking layers, cold shields for infrared detectors} [2023-08]
H01L 31/02165
. . . . .
{using interference filters, e.g. multilayer dielectric filters (interference filters G02B 5/28)} [2013-01]
H01L 31/02167
. . . .
{for solar cells} [2013-01]
H01L 31/02168
. . . . .
{the coatings being antireflective or having enhancing optical properties for the solar cells} [2013-01]
H01L 31/0224
. .
Electrodes [2013-01]
H01L 31/022408
. . .
{for devices characterised by at least one potential jump barrier or surface barrier} [2013-01]
H01L 31/022416
. . . .
{comprising ring electrodes} [2013-01]
H01L 31/022425
. . . .
{for solar cells} [2013-01]
H01L 31/022433
. . . . .
{Particular geometry of the grid contacts} [2013-01]
H01L 31/022441
. . . . .
{Electrode arrangements specially adapted for back-contact solar cells} [2013-01]
H01L 31/02245
. . . . . .
{for metallisation wrap-through [MWT] type solar cells} [2013-01]
H01L 31/022458
. . . . . .
{for emitter wrap-through [EWT] type solar cells, e.g. interdigitated emitter-base back-contacts} [2013-01]
H01L 31/022466
. . .
{made of transparent conductive layers, e.g. TCO, ITO layers} [2013-01]
H01L 31/022475
. . . .
{composed of indium tin oxide [ITO]} [2013-01]
H01L 31/022483
. . . .
{composed of zinc oxide [ZnO]} [2013-01]
H01L 31/022491
. . . .
{composed of a thin transparent metal layer, e.g. gold} [2013-01]
H01L 31/0232
. .
Optical elements or arrangements associated with the device (H01L 31/0236 takes precedence; for photovoltaic cells H01L 31/054; for photovoltaic modules H02S 40/20) [2014-12]
H01L 31/02322
. . .
{comprising luminescent members, e.g. fluorescent sheets upon the device} [2013-01]
H01L 31/02325
. . .
{the optical elements not being integrated nor being directly associated with the device} [2013-01]
H01L 31/02327
. . .
{the optical elements being integrated or being directly associated to the device, e.g. back reflectors (optical coatings H01L 31/0216)} [2013-01]
H01L 31/0236
. .
Special surface textures [2013-01]
H01L 31/02363
. . .
{of the semiconductor body itself, e.g. textured active layers} [2013-01]
H01L 31/02366
. . .
{of the substrate or of a layer on the substrate, e.g. textured ITO/glass substrate or superstrate, textured polymer layer on glass substrate} [2013-01]
H01L 31/024
. .
Arrangements for cooling, heating, ventilating or temperature compensation (for photovoltaic devices H01L 31/052) [2014-12]
H01L 31/0248
.
characterised by their semiconductor bodies [2013-01]
H01L 31/0256
. .
characterised by the material [2013-01]
H01L 31/0264
. . .
Inorganic materials [2013-01]
H01L 31/0272
. . . .
Selenium or tellurium [2013-01]
H01L 31/02725
. . . . .
{characterised by the doping material} [2013-01]
H01L 31/028
. . . .
including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table [2024-01]
H01L 31/0284
. . . . .
{comprising porous silicon as part of the active layer(s) (porous silicon as antireflective layer for photodiodes H01L 31/0216; for solar cells H01L 31/02168)} [2013-01]
H01L 31/0288
. . . . .
characterised by the doping material [2013-01]
H01L 31/0296
. . . .
including, apart from doping material or other impurities, only AIIBVI compounds, e.g. CdS, ZnS, HgCdTe [2013-01]
H01L 31/02963
. . . . .
{characterised by the doping material} [2013-01]
H01L 31/02966
. . . . .
{including ternary compounds, e.g. HgCdTe} [2013-01]
H01L 31/0304
. . . .
including, apart from doping materials or other impurities, only AIIIBV compounds [2013-01]
H01L 31/03042
. . . . .
{characterised by the doping material} [2013-01]
H01L 31/03044
. . . . .
{comprising a nitride compounds, e.g. GaN} [2013-01]
H01L 31/03046
. . . . .
{including ternary or quaternary compounds, e.g. GaAlAs, InGaAs, InGaAsP} [2013-01]
H01L 31/03048
. . . . . .
{comprising a nitride compounds, e.g. InGaN} [2013-01]
H01L 31/0312
. . . .
including, apart from doping materials or other impurities, only AIVBIV compounds, e.g. SiC [2013-01]
H01L 31/03125
. . . . .
{characterised by the doping material} [2013-01]
H01L 31/032
. . . .
including, apart from doping materials or other impurities, only compounds not provided for in groups H01L 31/0272 - H01L 31/0312 [2016-05]
H01L 31/0321
. . . . .
{characterised by the doping material (H01L 31/0323, H01L 31/0325 take precedence)} [2013-01]
H01L 31/0322
. . . . .
{comprising only AIBIIICVI chalcopyrite compounds, e.g. Cu In Se2, Cu Ga Se2, Cu In Ga Se2} [2013-01]
H01L 31/0323
. . . . . .
{characterised by the doping material} [2013-01]
H01L 31/0324
. . . . .
{comprising only AIVBVI or AIIBIVCVI chalcogenide compounds, e.g. Pb Sn Te} [2013-01]
H01L 31/0325
. . . . . .
{characterised by the doping material} [2013-01]
H01L 31/0326
. . . . .
{comprising AIBIICIVDVI kesterite compounds, e.g. Cu2ZnSnSe4, Cu2ZnSnS4} [2013-01]
H01L 31/0327
. . . . . .
{characterised by the doping material} [2013-01]
H01L 31/0328
. . . .
including, apart from doping materials or other impurities, semiconductor materials provided for in two or more of groups H01L 31/0272 - H01L 31/032 [2016-05]
H01L 31/0336
. . . . .
in different semiconductor regions, e.g. Cu2X/CdX hetero- junctions, X being an element of Group VI of the Periodic Table [2024-01]
H01L 31/03365
. . . . . .
{comprising only Cu2X / CdX heterojunctions, X being an element of Group VI of the Periodic Table} [2024-01]
H01L 2031/0344
. . .
{Organic materials} [2013-01]
H01L 31/0352
. .
characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions [2013-01]
H01L 31/035209
. . .
{comprising a quantum structures} [2013-01]
H01L 31/035218
. . . .
{the quantum structure being quantum dots} [2013-01]
H01L 31/035227
. . . .
{the quantum structure being quantum wires, or nanorods (carbon nanotubes H10K 85/211)} [2023-02]
H01L 31/035236
. . .
{Superlattices; Multiple quantum well structures} [2013-01]
H01L 31/035245
. . . .
{characterised by amorphous semiconductor layers} [2013-01]
H01L 31/035254
. . . .
{including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table, e.g. Si-SiGe superlattices} [2024-01]
H01L 31/035263
. . . .
{Doping superlattices, e.g. nipi superlattices} [2013-01]
H01L 31/035272
. . .
{characterised by at least one potential jump barrier or surface barrier} [2013-01]
H01L 31/035281
. . . .
{Shape of the body} [2013-01]
H01L 31/03529
. . . .
{Shape of the potential jump barrier or surface barrier} [2013-01]
H01L 31/036
. .
characterised by their crystalline structure or particular orientation of the crystalline planes [2013-01]
H01L 31/0368
. . .
including polycrystalline semiconductors (H01L 31/0392 takes precedence) [2013-01]
H01L 31/03682
. . . .
{including only elements of Group IV of the Periodic Table} [2024-01]
H01L 31/03685
. . . . .
{including microcrystalline silicon, uc-Si} [2013-01]
H01L 31/03687
. . . . .
{including microcrystalline AIVBIV alloys, e.g. uc-SiGe, uc-SiC} [2013-01]
H01L 31/0376
. . .
including amorphous semiconductors (H01L 31/0392 takes precedence) [2013-01]
H01L 31/03762
. . . .
{including only elements of Group IV of the Periodic Table} [2024-01]
H01L 31/03765
. . . . .
{including AIVBIV compounds or alloys, e.g. SiGe, SiC} [2013-01]
H01L 31/03767
. . . . .
{presenting light-induced characteristic variations, e.g. Staebler-Wronski effect} [2013-01]
H01L 31/0384
. . .
including other non-monocrystalline materials, e.g. semiconductor particles embedded in an insulating material (H01L 31/0392 takes precedence) [2013-01]
H01L 31/03845
. . . .
{comprising semiconductor nanoparticles embedded in a semiconductor matrix (in insulating matrix H01L 31/0384)} [2017-08]
H01L 31/0392
. . .
including thin films deposited on metallic or insulating substrates {; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate (textured substrates H01L 31/02366)} [2017-08]
H01L 31/03921
. . . .
{including only elements of Group IV of the Periodic Table} [2024-01]
H01L 31/03923
. . . .
{including AIBIIICVI compound materials, e.g. CIS, CIGS} [2013-01]
H01L 31/03925
. . . .
{including AIIBVI compound materials, e.g. CdTe, CdS} [2013-01]
H01L 31/03926
. . . .
{comprising a flexible substrate} [2013-01]
H01L 31/03928
. . . . .
{including AIBIIICVI compound, e.g. CIS, CIGS deposited on metal or polymer foils} [2013-01]
H01L 31/04
.
adapted as photovoltaic [PV] conversion devices (testing thereof during manufacture {H01L 22/00}; testing thereof after manufacture H02S 50/10) [2016-11]
H01L 31/041
. .
Provisions for preventing damage caused by corpuscular radiation, e.g. for space applications [2014-12]
H01L 31/042
. .
PV modules or arrays of single PV cells (supporting structures for PV modules H02S 20/00) [2014-12]
H01L 31/043
. . .
Mechanically stacked PV cells [2014-12]
H01L 31/044
. . .
including bypass diodes (bypass diodes in the junction box H02S 40/34) [2014-12]
H01L 31/0443
. . . .
comprising bypass diodes integrated or directly associated with the devices, e.g. bypass diodes integrated or formed in or on the same substrate as the photovoltaic cells [2014-12]
H01L 31/0445
. . .
including thin film solar cells, e.g. single thin film a-Si, CIS or CdTe solar cells [2014-12]
H01L 31/046
. . . .
PV modules composed of a plurality of thin film solar cells deposited on the same substrate [2014-12]
H01L 31/0463
. . . . .
characterised by special patterning methods to connect the PV cells in a module, e.g. laser cutting of the conductive or active layers [2014-12]
H01L 31/0465
. . . . .
comprising particular structures for the electrical interconnection of adjacent PV cells in the module (H01L 31/0463 takes precedence) [2014-12]
H01L 31/0468
. . . . .
comprising specific means for obtaining partial light transmission through the module, e.g. partially transparent thin film solar modules for windows [2014-12]
H01L 31/047
. . .
PV cell arrays including PV cells having multiple vertical junctions or multiple V-groove junctions formed in a semiconductor substrate [2014-12]
H01L 31/0475
. . .
PV cell arrays made by cells in a planar, e.g. repetitive, configuration on a single semiconductor substrate; PV cell microarrays (PV modules composed of a plurality of thin film solar cells deposited on the same substrate H01L 31/046) [2014-12]
H01L 31/048
. . .
Encapsulation of modules [2014-12]
H01L 31/0481
. . . .
{characterised by the composition of the encapsulation material} [2013-01]
H01L 31/0488
. . . .
{Double glass encapsulation, e.g. photovoltaic cells arranged between front and rear glass sheets} [2013-01]
H01L 31/049
. . . .
Protective back sheets [2014-12]
H01L 31/05
. . .
Electrical interconnection means between PV cells inside the PV module, e.g. series connection of PV cells (electrodes H01L 31/0224; electrical interconnection of thin film solar cells formed on a common substrate H01L 31/046; particular structures for electrical interconnecting of adjacent thin film solar cells in the module H01L 31/0465; electrical interconnection means specially adapted for electrically connecting two or more PV modules H02S 40/36) [2014-12]
H01L 31/0504
. . . .
{specially adapted for series or parallel connection of solar cells in a module} [2013-01]
H01L 31/0508
. . . . .
{the interconnection means having a particular shape} [2013-01]
H01L 31/0512
. . . . .
{made of a particular material or composition of materials} [2013-01]
H01L 31/0516
. . . . .
{specially adapted for interconnection of back-contact solar cells} [2013-01]
H01L 31/052
. .
Cooling means directly associated or integrated with the PV cell, e.g. integrated Peltier elements for active cooling or heat sinks directly associated with the PV cells (cooling means in combination with the PV module H02S 40/42) [2015-12]
H01L 31/0521
. . .
{using a gaseous or a liquid coolant, e.g. air flow ventilation, water circulation} [2014-12]
H01L 31/0525
. . .
including means to utilise heat energy directly associated with the PV cell, e.g. integrated Seebeck elements [2016-11]
H01L 31/053
. .
Energy storage means directly associated or integrated with the PV cell, e.g. a capacitor integrated with a PV cell (energy storage means associated with the PV module H02S 40/38) [2014-12]
H01L 31/054
. .
Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means [2014-12]
H01L 31/0543
. . .
{comprising light concentrating means of the refractive type, e.g. lenses} [2014-12]
H01L 31/0547
. . .
{comprising light concentrating means of the reflecting type, e.g. parabolic mirrors, concentrators using total internal reflection} [2014-12]
H01L 31/0549
. . .
{comprising spectrum splitting means, e.g. dichroic mirrors} [2014-12]
H01L 31/055
. . .
where light is absorbed and re-emitted at a different wavelength by the optical element directly associated or integrated with the PV cell, e.g. by using luminescent material, fluorescent concentrators or up-conversion arrangements [2014-12]
H01L 31/056
. . .
the light-reflecting means being of the back surface reflector [BSR] type [2014-12]
H01L 31/06
. .
characterised by potential barriers [2024-01]
H01L 31/061
. . .
the potential barriers being of the point-contact type (H01L 31/07 takes precedence) [2016-08]
H01L 31/062
. . .
the potential barriers being only of the metal-insulator-semiconductor type [2013-01]
H01L 31/065
. . .
the potential barriers being only of the graded gap type [2013-01]
H01L 31/068
. . .
the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells [2013-01]
H01L 31/0682
. . . .
{back-junction, i.e. rearside emitter, solar cells, e.g. interdigitated base-emitter regions back-junction cells} [2013-01]
H01L 31/0684
. . . .
{double emitter cells, e.g. bifacial solar cells} [2013-01]
H01L 31/0687
. . . .
Multiple junction or tandem solar cells [2013-01]
H01L 31/06875
. . . . .
{inverted grown metamorphic [IMM] multiple junction solar cells, e.g. III-V compounds inverted metamorphic multi-junction cells} [2013-01]
H01L 31/0693
. . . .
the devices including, apart from doping material or other impurities, only AIIIBV compounds, e.g. GaAs or InP solar cells [2013-01]
H01L 31/07
. . .
the potential barriers being only of the Schottky type [2013-01]
H01L 31/072
. . .
the potential barriers being only of the PN heterojunction type [2013-01]
H01L 31/0725
. . . .
Multiple junction or tandem solar cells [2013-01]
H01L 31/073
. . . .
comprising only AIIBVI compound semiconductors, e.g. CdS/CdTe solar cells [2013-01]
H01L 31/0735
. . . .
comprising only AIIIBV compound semiconductors, e.g. GaAs/AlGaAs or InP/GaInAs solar cells [2017-08]
H01L 31/074
. . . .
comprising a heterojunction with an element of Group IV of the Periodic Table, e.g. ITO/Si, GaAs/Si or CdTe/Si solar cells [2024-01]
H01L 31/0745
. . . .
comprising a AIVBIV heterojunction, e.g. Si/Ge, SiGe/Si or Si/SiC solar cells [2013-01]
H01L 31/0747
. . . . .
comprising a heterojunction of crystalline and amorphous materials, e.g. heterojunction with intrinsic thin layer [2024-01]
H01L 31/0749
. . . .
including a AIBIIICVI compound, e.g. CdS/CulnSe2 [CIS] heterojunction solar cells [2013-01]
H01L 31/075
. . .
the potential barriers being only of the PIN type, e.g. amorphous silicon PIN solar cells [2024-01]
H01L 31/076
. . . .
Multiple junction or tandem solar cells [2013-01]
H01L 31/077
. . . .
the devices comprising monocrystalline or polycrystalline materials [2013-01]
H01L 31/078
. . .
including different types of potential barriers provided for in two or more of groups H01L 31/062 - H01L 31/075 [2016-05]
H01L 31/08
.
in which radiation controls flow of current through the device, e.g. photoresistors [2013-01]
H01L 31/085
. .
{the device being sensitive to very short wavelength, e.g. X-ray, Gamma-rays} [2013-01]
H01L 31/09
. .
Devices sensitive to infrared, visible or ultraviolet radiation (H01L 31/101 takes precedence) [2023-08]
H01L 31/095
. . .
{comprising amorphous semiconductors} [2013-01]
H01L 31/10
. .
characterised by potential barriers, e.g. phototransistors [2024-01]
H01L 31/101
. . .
Devices sensitive to infrared, visible or ultraviolet radiation [2023-08]
H01L 31/1013
. . . .
{devices sensitive to two or more wavelengths, e.g. multi-spectrum radiation detection devices} [2013-01]
H01L 31/1016
. . . .
{comprising transparent or semitransparent devices} [2013-01]
H01L 31/102
. . . .
characterised by only one potential barrier [2024-01]
H01L 31/1025
. . . . .
{the potential barrier being of the point contact type} [2013-01]
H01L 31/103
. . . . .
the potential barrier being of the PN homojunction type [2013-01]
H01L 31/1032
. . . . . .
{the devices comprising active layers formed only by AIIBVI compounds, e.g. HgCdTe IR photodiodes} [2013-01]
H01L 31/1035
. . . . . .
{the devices comprising active layers formed only by AIIIBV compounds} [2013-01]
H01L 31/1037
. . . . . .
{the devices comprising active layers formed only by AIVBVI compounds} [2013-01]
H01L 31/105
. . . . .
the potential barrier being of the PIN type [2013-01]
H01L 31/1055
. . . . . .
{the devices comprising amorphous materials of Group IV of the Periodic Table} [2024-01]
H01L 31/107
. . . . .
the potential barrier working in avalanche mode, e.g. avalanche photodiodes [2024-01]
H01L 31/1075
. . . . . .
{in which the active layers, e.g. absorption or multiplication layers, form an heterostructure, e.g. SAM structure} [2013-01]
H01L 31/108
. . . . .
the potential barrier being of the Schottky type [2013-01]
H01L 31/1085
. . . . . .
{the devices being of the Metal-Semiconductor-Metal [MSM] Schottky barrier type} [2013-01]
H01L 31/109
. . . . .
the potential barrier being of the PN heterojunction type [2013-01]
H01L 31/11
. . . .
characterised by two potential barriers, e.g. bipolar phototransistors [2024-01]
H01L 31/1105
. . . . .
{the device being a bipolar phototransistor} [2013-01]
H01L 31/111
. . . .
characterised by at least three potential barriers, e.g. photothyristors [2024-01]
H01L 31/1113
. . . . .
{the device being a photothyristor} [2013-01]
H01L 31/1116
. . . . . .
{of the static induction type} [2013-01]
H01L 31/112
. . . .
characterised by field-effect operation, e.g. junction field-effect phototransistor [2013-01]
H01L 31/1121
. . . . .
{Devices with Schottky gate} [2013-01]
H01L 31/1122
. . . . . .
{the device being a CCD device} [2013-01]
H01L 31/1123
. . . . . .
{the device being a photo MESFET} [2013-01]
H01L 31/1124
. . . . .
{Devices with PN homojunction gate} [2013-01]
H01L 31/1125
. . . . . .
{the device being a CCD device} [2013-01]
H01L 31/1126
. . . . . .
{the device being a field-effect phototransistor} [2013-01]
H01L 31/1127
. . . . .
{Devices with PN heterojunction gate} [2013-01]
H01L 31/1128
. . . . . .
{the device being a CCD device} [2013-01]
H01L 31/1129
. . . . . .
{the device being a field-effect phototransistor} [2013-01]
H01L 31/113
. . . . .
being of the conductor-insulator-semiconductor type, e.g. metal-insulator-semiconductor field-effect transistor [2013-01]
H01L 31/1133
. . . . . .
{the device being a conductor-insulator-semiconductor diode or a CCD device} [2013-01]
H01L 31/1136
. . . . . .
{the device being a metal-insulator-semiconductor field-effect transistor} [2013-01]
H01L 31/115
. . .
Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation [2013-01]
H01L 31/117
. . . .
of the bulk effect radiation detector type, e.g. Ge-Li compensated PIN gamma-ray detectors [2013-01]
H01L 31/1175
. . . . .
{Li compensated PIN gamma-ray detectors} [2013-01]
H01L 31/118
. . . .
of the surface barrier or shallow PN junction detector type, e.g. surface barrier alpha-particle detectors [2013-01]
H01L 31/1185
. . . . .
{of the shallow PN junction detector type} [2013-01]
H01L 31/119
. . . .
characterised by field-effect operation, e.g. MIS type detectors [2013-01]
H01L 31/12
.
structurally associated with, e.g. formed in or on a common substrate with, one or more electric light sources, e.g. electroluminescent light sources, and electrically or optically coupled thereto (semiconductor devices with at least one potential barrier or surface barrier adapted for light emission H01L 33/00; amplifiers using electroluminescent element and photocell H03F 17/00; electroluminescent light sources per se H05B 33/00) [2017-08]
H01L 31/125
. .
{Composite devices with photosensitive elements and electroluminescent elements within one single body} [2013-01]
H01L 31/14
. .
the light source or sources being controlled by the semiconductor device sensitive to radiation, e.g. image converters, image amplifiers or image storage devices [2019-01]
H01L 31/141
. . .
{the semiconductor device sensitive to radiation being without a potential-jump barrier or surface barrier} [2013-01]
H01L 31/143
. . . .
{the light source being a semiconductor device with at least one potential-jump barrier or surface barrier, e.g. light emitting diode} [2013-01]
H01L 31/145
. . .
{the semiconductor device sensitive to radiation being characterised by at least one potential-jump barrier or surface barrier} [2013-01]
H01L 31/147
. . .
the light sources and the devices sensitive to radiation all being semiconductor devices characterised by potential barriers [2024-01]
H01L 31/153
. . . .
formed in, or on, a common substrate [2013-01]
H01L 31/16
. .
the semiconductor device sensitive to radiation being controlled by the light source or sources [2013-01]
H01L 31/161
. . .
{Semiconductor device sensitive to radiation without a potential-jump or surface barrier, e.g. photoresistors} [2013-01]
H01L 31/162
. . . .
{the light source being a semiconductor device with at least one potential-jump barrier or surface barrier, e.g. a light emitting diode} [2016-08]
H01L 31/164
. . . .
{Optical potentiometers} [2013-01]
H01L 31/165
. . .
{the semiconductor sensitive to radiation being characterised by at least one potential-jump or surface barrier} [2013-01]
H01L 31/167
. . .
the light sources and the devices sensitive to radiation all being semiconductor devices characterised by potential barriers [2024-01]
H01L 31/173
. . . .
formed in, or on, a common substrate [2013-01]
H01L 31/18
.
Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof [2021-01]
H01L 31/1804
. .
{comprising only elements of Group IV of the Periodic Table} [2024-01]
H01L 31/1808
. . .
{including only Ge} [2013-01]
H01L 31/1812
. . .
{including only AIVBIV alloys, e.g. SiGe} [2013-01]
H01L 31/1816
. . . .
{Special manufacturing methods for microcrystalline layers, e.g. uc-SiGe, uc-SiC} [2013-01]
H01L 31/182
. . .
{Special manufacturing methods for polycrystalline Si, e.g. Si ribbon, poly Si ingots, thin films of polycrystalline Si} [2013-01]
H01L 31/1824
. . . .
{Special manufacturing methods for microcrystalline Si, uc-Si} [2013-01]
H01L 31/1828
. .
{the active layers comprising only AIIBVI compounds, e.g. CdS, ZnS, CdTe} [2013-01]
H01L 31/1832
. . .
{comprising ternary compounds, e.g. Hg Cd Te} [2013-01]
H01L 31/1836
. . .
{comprising a growth substrate not being an AIIBVI compound} [2013-01]
H01L 31/184
. .
{the active layers comprising only AIIIBV compounds, e.g. GaAs, InP} [2013-01]
H01L 31/1844
. . .
{comprising ternary or quaternary compounds, e.g. Ga Al As, In Ga As P} [2013-01]
H01L 31/1848
. . . .
{comprising nitride compounds, e.g. InGaN, InGaAlN} [2013-01]
H01L 31/1852
. . .
{comprising a growth substrate not being an AIIIBV compound} [2013-01]
H01L 31/1856
. . .
{comprising nitride compounds, e.g. GaN} [2013-01]
H01L 31/186
. .
{Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation} [2013-01]
H01L 31/1864
. . .
{Annealing} [2013-01]
H01L 31/1868
. . .
{Passivation} [2013-01]
H01L 31/1872
. . .
{Recrystallisation} [2013-01]
H01L 31/1876
. .
{Particular processes or apparatus for batch treatment of the devices} [2013-01]
H01L 31/188
. . .
{Apparatus specially adapted for automatic interconnection of solar cells in a module} [2013-01]
H01L 31/1884
. .
{Manufacture of transparent electrodes, e.g. TCO, ITO} [2013-01]
H01L 31/1888
. . .
{methods for etching transparent electrodes} [2013-01]
H01L 31/1892
. .
{methods involving the use of temporary, removable substrates} [2013-01]
H01L 31/1896
. . .
{for thin-film semiconductors} [2013-01]
H01L 31/20
. .
such devices or parts thereof comprising amorphous semiconductor materials [2013-01]
H01L 31/202
. . .
{including only elements of Group IV of the Periodic Table} [2024-01]
H01L 31/204
. . . .
{including AIVBIV alloys, e.g. SiGe, SiC} [2013-01]
H01L 31/206
. . .
{Particular processes or apparatus for continuous treatment of the devices, e.g. roll-to roll processes, multi-chamber deposition} [2013-01]
H01L 31/208
. . .
{Particular post-treatment of the devices, e.g. annealing, short-circuit elimination} [2013-01]
H01L 33/00
Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof (H10K 50/00 takes precedence; devices consisting of a plurality of semiconductor components formed in or on a common substrate and including semiconductor components having potential barriers, specially adapted for light emission H01L 27/15; semiconductor lasers H01S 5/00) [2024-01]
NOTES

  • This group covers light-emitting diodes [LED] or superluminescent diodes [SLD], which emit visible light, infrared [IR] light or ultraviolet [UV] light.
  • In this group, the first place priority rule is applied, i.e. at each hierarchical level, in the absence of an indication to the contrary, classification is made in the first appropriate place.
H01L 33/0004
.
{Devices characterised by their operation} [2013-01]
H01L 33/0008
. .
{having p-n or hi-lo junctions} [2013-01]
H01L 33/0012
. . .
{p-i-n devices} [2013-01]
H01L 33/0016
. . .
{having at least two p-n junctions} [2013-01]
H01L 33/002
. .
{having heterojunctions or graded gap} [2013-01]
H01L 33/0025
. . .
{comprising only AIIIBV compounds} [2013-01]
H01L 33/0029
. . .
{comprising only AIIBVI compounds} [2013-01]
H01L 33/0033
. .
{having Schottky barriers} [2013-01]
H01L 33/0037
. .
{having a MIS barrier layer} [2013-01]
H01L 33/0041
. .
{characterised by field-effect operation} [2013-01]
H01L 33/0045
. .
{the devices being superluminescent diodes} [2013-01]
H01L 33/005
.
{Processes} [2013-01]
H01L 33/0054
. .
{for devices with an active region comprising only group IV elements} [2013-01]
H01L 33/0058
. . .
{comprising amorphous semiconductors} [2013-01]
H01L 33/0062
. .
{for devices with an active region comprising only III-V compounds} [2013-01]
H01L 33/0066
. . .
{with a substrate not being a III-V compound} [2013-01]
H01L 33/007
. . . .
{comprising nitride compounds} [2013-01]
H01L 33/0075
. . .
{comprising nitride compounds} [2013-01]
H01L 33/0083
. .
{for devices with an active region comprising only II-VI compounds} [2013-01]
H01L 33/0087
. . .
{with a substrate not being a II-VI compound} [2013-01]
H01L 33/0091
. .
{for devices with an active region comprising only IV-VI compounds} [2013-01]
H01L 33/0093
. .
{Wafer bonding; Removal of the growth substrate} [2020-05]
H01L 33/0095
. .
{Post-treatment of devices, e.g. annealing, recrystallisation or short-circuit elimination} [2020-05]
H01L 33/02
.
characterised by the semiconductor bodies [2013-01]
H01L 33/025
. .
{Physical imperfections, e.g. particular concentration or distribution of impurities} [2013-01]
H01L 33/04
. .
with a quantum effect structure or superlattice, e.g. tunnel junction [2016-08]
H01L 33/06
. . .
within the light emitting region, e.g. quantum confinement structure or tunnel barrier [2013-01]
H01L 33/08
. .
with a plurality of light emitting regions, e.g. laterally discontinuous light emitting layer or photoluminescent region integrated within the semiconductor body (H01L 27/15 takes precedence) [2017-08]
H01L 33/10
. .
with a light reflecting structure, e.g. semiconductor Bragg reflector [2013-01]
H01L 33/105
. . .
{with a resonant cavity structure} [2013-01]
H01L 33/12
. .
with a stress relaxation structure, e.g. buffer layer [2013-01]
H01L 33/14
. .
with a carrier transport control structure, e.g. highly-doped semiconductor layer or current-blocking structure [2013-01]
H01L 33/145
. . .
{with a current-blocking structure} [2013-01]
H01L 33/16
. .
with a particular crystal structure or orientation, e.g. polycrystalline, amorphous or porous [2013-01]
H01L 33/18
. . .
within the light emitting region [2013-01]
NOTE

  • When classifying in this group, classification is also made in group H01L 33/26 or one of its subgroups in order to identify the chemical composition of the light emitting region
H01L 33/20
. .
with a particular shape, e.g. curved or truncated substrate [2013-01]
H01L 33/22
. . .
Roughened surfaces, e.g. at the interface between epitaxial layers [2013-01]
H01L 33/24
. . .
of the light emitting region, e.g. non-planar junction [2013-01]
H01L 33/26
. .
Materials of the light emitting region [2013-01]
H01L 33/28
. . .
containing only elements of Group II and Group VI of the Periodic Table [2024-01]
H01L 33/285
. . . .
{characterised by the doping materials} [2013-01]
H01L 33/30
. . .
containing only elements of Group III and Group V of the Periodic Table [2024-01]
H01L 33/305
. . . .
{characterised by the doping materials} [2013-01]
H01L 33/32
. . . .
containing nitrogen [2013-01]
H01L 33/325
. . . . .
{characterised by the doping materials} [2013-01]
H01L 33/34
. . .
containing only elements of Group IV of the Periodic Table [2024-01]
H01L 33/343
. . . .
{characterised by the doping materials} [2013-01]
H01L 33/346
. . . .
{containing porous silicon} [2013-01]
H01L 33/36
.
characterised by the electrodes [2013-01]
H01L 33/38
. .
with a particular shape [2013-01]
H01L 33/382
. . .
{the electrode extending partially in or entirely through the semiconductor body} [2013-01]
H01L 33/385
. . .
{the electrode extending at least partially onto a side surface of the semiconductor body} [2013-01]
H01L 33/387
. . .
{with a plurality of electrode regions in direct contact with the semiconductor body and being electrically interconnected by another electrode layer} [2013-01]
H01L 33/40
. .
Materials therefor [2013-01]
H01L 33/405
. . .
{Reflective materials} [2013-01]
H01L 33/42
. . .
Transparent materials [2013-01]
H01L 33/44
.
characterised by the coatings, e.g. passivation layer or anti-reflective coating [2013-01]
H01L 33/46
. .
Reflective coating, e.g. dielectric Bragg reflector [2013-01]
H01L 33/465
. . .
{with a resonant cavity structure} [2013-01]
H01L 33/48
.
characterised by the semiconductor body packages [2013-01]
NOTE

  • This group covers elements in intimate contact with the semiconductor body or integrated with the package
H01L 33/483
. .
{Containers} [2013-01]
H01L 33/486
. . .
{adapted for surface mounting} [2013-01]
H01L 33/50
. .
Wavelength conversion elements [2013-01]
H01L 33/501
. . .
{characterised by the materials, e.g. binder} [2013-01]
H01L 33/502
. . . .
{Wavelength conversion materials} [2013-01]
H01L 33/504
. . . . .
{Elements with two or more wavelength conversion materials} [2013-01]
H01L 33/505
. . .
{characterised by the shape, e.g. plate or foil} [2013-01]
H01L 33/507
. . .
{the elements being in intimate contact with parts other than the semiconductor body or integrated with parts other than the semiconductor body} [2013-01]
H01L 33/508
. . .
{having a non-uniform spatial arrangement or non-uniform concentration, e.g. patterned wavelength conversion layer, wavelength conversion layer with a concentration gradient of the wavelength conversion material} [2013-01]
H01L 33/52
. .
Encapsulations [2013-01]
H01L 33/54
. . .
having a particular shape [2013-01]
H01L 33/56
. . .
Materials, e.g. epoxy or silicone resin [2013-01]
H01L 33/58
. .
Optical field-shaping elements [2013-01]
H01L 33/60
. . .
Reflective elements [2013-01]
H01L 33/62
. .
Arrangements for conducting electric current to or from the semiconductor body, e.g. lead-frames, wire-bonds or solder balls [2013-01]
H01L 33/64
. .
Heat extraction or cooling elements [2013-01]
H01L 33/641
. . .
{characterized by the materials} [2013-01]
H01L 33/642
. . .
{characterized by the shape} [2013-01]
H01L 33/644
. . .
{in intimate contact or integrated with parts of the device other than the semiconductor body} [2013-01]
H01L 33/645
. . .
{the elements being electrically controlled, e.g. Peltier elements} [2013-01]
H01L 33/647
. . .
{the elements conducting electric current to or from the semiconductor body} [2013-01]
H01L 33/648
. . .
{the elements comprising fluids, e.g. heat-pipes} [2013-01]
H01L 2221/00
Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L 21/00 [2013-01]
H01L 2221/10
.
Applying interconnections to be used for carrying current between separate components within a device [2013-01]
H01L 2221/1005
. .
Formation and after-treatment of dielectrics [2013-01]
H01L 2221/101
. . .
Forming openings in dielectrics [2013-01]
H01L 2221/1015
. . . .
for dual damascene structures [2013-01]
H01L 2221/1021
. . . . .
Pre-forming the dual damascene structure in a resist layer [2013-01]
H01L 2221/1026
. . . . .
the via being formed by burying a sacrificial pillar in the dielectric and removing the pillar [2013-01]
H01L 2221/1031
. . . . .
Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric [2013-01]
H01L 2221/1036
. . . . .
Dual damascene with different via-level and trench-level dielectrics [2013-01]
H01L 2221/1042
. . .
the dielectric comprising air gaps [2013-01]
H01L 2221/1047
. . . .
the air gaps being formed by pores in the dielectric [2013-01]
H01L 2221/1052
. . .
Formation of thin functional dielectric layers [2013-01]
H01L 2221/1057
. . . .
in via holes or trenches [2013-01]
H01L 2221/1063
. . . . .
Sacrificial or temporary thin dielectric films in openings in a dielectric [2013-01]
H01L 2221/1068
. .
Formation and after-treatment of conductors [2013-01]
H01L 2221/1073
. . .
Barrier, adhesion or liner layers [2013-01]
H01L 2221/1078
. . . .
Multiple stacked thin films not being formed in openings in dielectrics [2013-01]
H01L 2221/1084
. . . .
Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers [2013-01]
H01L 2221/1089
. . . . .
Stacks of seed layers [2013-01]
H01L 2221/1094
. . .
Conducting structures comprising nanotubes or nanowires [2013-01]
H01L 2221/67
.
Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere [2013-01]
H01L 2221/683
. .
for supporting or gripping [2013-01]
H01L 2221/68304
. . .
using temporarily an auxiliary support [2013-01]
H01L 2221/68309
. . . .
Auxiliary support including alignment aids [2013-01]
H01L 2221/68313
. . . .
Auxiliary support including a cavity for storing a finished device, e.g. IC package, or a partly finished device, e.g. die, during manufacturing or mounting [2013-01]
H01L 2221/68318
. . . .
Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support [2013-01]
H01L 2221/68322
. . . . .
Auxiliary support including means facilitating the selective separation of some of a plurality of devices from the auxiliary support [2013-01]
H01L 2221/68327
. . . .
used during dicing or grinding [2013-01]
H01L 2221/68331
. . . . .
of passive members, e.g. die mounting substrate [2013-01]
H01L 2221/68336
. . . . .
involving stretching of the auxiliary support post dicing [2013-01]
H01L 2221/6834
. . . .
used to protect an active side of a device or wafer [2013-01]
H01L 2221/68345
. . . .
used as a support during the manufacture of self supporting substrates [2013-01]
H01L 2221/6835
. . . .
used as a support during build up manufacturing of active devices [2013-01]
H01L 2221/68354
. . . .
used to support diced chips prior to mounting [2013-01]
H01L 2221/68359
. . . .
used as a support during manufacture of interconnect decals or build up layers [2013-01]
H01L 2221/68363
. . . .
used in a transfer process involving transfer directly from an origin substrate to a target substrate without use of an intermediate handle substrate [2013-01]
H01L 2221/68368
. . . .
used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate [2013-01]
H01L 2221/68372
. . . .
used to support a device or wafer when forming electrical connections thereto (when forming bonding pads H01L 24/03; when forming bump connectors H01L 24/11; when forming layer connectors H01L 24/27) [2013-01]
H01L 2221/68377
. . . .
with parts of the auxiliary support remaining in the finished device [2013-01]
H01L 2221/68381
. . . .
Details of chemical or physical process used for separating the auxiliary support from a device or wafer [2013-01]
H01L 2221/68386
. . . . .
Separation by peeling [2013-01]
H01L 2221/6839
. . . . . .
using peeling wedge or knife or bar [2013-01]
H01L 2221/68395
. . . . . .
using peeling wheel [2013-01]
H01L 2223/00
Details relating to semiconductor or other solid state devices covered by the group H01L 23/00 [2013-01]
H01L 2223/544
.
Marks applied to semiconductor devices or parts [2013-01]
H01L 2223/54406
. .
comprising alphanumeric information [2013-01]
H01L 2223/54413
. .
comprising digital information, e.g. bar codes, data matrix [2013-01]
H01L 2223/5442
. .
comprising non digital, non alphanumeric information, e.g. symbols [2013-01]
H01L 2223/54426
. .
for alignment [2013-01]
H01L 2223/54433
. .
containing identification or tracking information [2013-01]
H01L 2223/5444
. . .
for electrical read out [2013-01]
H01L 2223/54446
. . . .
Wireless electrical read out [2013-01]
H01L 2223/54453
. .
for use prior to dicing [2013-01]
H01L 2223/5446
. . .
Located in scribe lines [2013-01]
H01L 2223/54466
. . .
Located in a dummy or reference die [2013-01]
H01L 2223/54473
. .
for use after dicing [2013-01]
H01L 2223/5448
. . .
Located on chip prior to dicing and remaining on chip after dicing [2013-01]
H01L 2223/54486
. . .
Located on package parts, e.g. encapsulation, leads, package substrate [2013-01]
H01L 2223/54493
. .
Peripheral marks on wafers, e.g. orientation flats, notches, lot number [2013-01]
H01L 2223/58
.
Structural electrical arrangements for semiconductor devices not otherwise provided for [2013-01]
H01L 2223/64
. .
Impedance arrangements [2013-01]
H01L 2223/66
. . .
High-frequency adaptations [2013-01]
H01L 2223/6605
. . . .
High-frequency electrical connections [2013-01]
H01L 2223/6611
. . . . .
Wire connections [2013-01]
H01L 2223/6616
. . . . .
Vertical connections, e.g. vias [2013-01]
H01L 2223/6622
. . . . . .
Coaxial feed-throughs in active or passive substrates [2013-01]
H01L 2223/6627
. . . . .
Waveguides, e.g. microstrip line, strip line, coplanar line [2013-01]
H01L 2223/6633
. . . . . .
Transition between different waveguide types [2013-01]
H01L 2223/6638
. . . . .
Differential pair signal lines [2013-01]
H01L 2223/6644
. . . .
Packaging aspects of high-frequency amplifiers (amplifiers per se H03F) [2013-01]
H01L 2223/665
. . . . .
Bias feed arrangements [2013-01]
H01L 2223/6655
. . . . .
Matching arrangements, e.g. arrangement of inductive and capacitive components [2013-01]
H01L 2223/6661
. . . .
for passive devices (passive components per se H01L 28/00) [2013-01]
H01L 2223/6666
. . . . .
for decoupling, e.g. bypass capacitors [2013-01]
H01L 2223/6672
. . . . .
for integrated passive components, e.g. semiconductor device with passive components only (integrated circuits with passive components only per se H01L 27/01) [2013-01]
H01L 2223/6677
. . . . .
for antenna, e.g. antenna included within housing of semiconductor device (antennas per se H01Q) [2013-01]
H01L 2223/6683
. . . .
for monolithic microwave integrated circuit [MMIC] [2013-01]
H01L 2223/6688
. . . .
Mixed frequency adaptations, i.e. for operation at different frequencies [2013-01]
H01L 2223/6694
. . . .
Optical signal interface included within high-frequency semiconductor device housing [2013-01]
H01L 2224/00
Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L 24/00 [2013-01]
H01L 2224/01
.
Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto [2013-01]
H01L 2224/02
. .
Bonding areas; Manufacturing methods related thereto [2013-01]
H01L 2224/0212
. . .
Auxiliary members for bonding areas, e.g. spacers [2013-01]
H01L 2224/02122
. . . .
being formed on the semiconductor or solid-state body [2013-01]
H01L 2224/02123
. . . . .
inside the bonding area [2013-01]
H01L 2224/02125
. . . . . .
Reinforcing structures [2013-01]
H01L 2224/02126
. . . . . . .
Collar structures [2013-01]
H01L 2224/0213
. . . . . .
Alignment aids [2013-01]
H01L 2224/02135
. . . . . .
Flow barrier [2013-01]
H01L 2224/0214
. . . . . .
Structure of the auxiliary member [2013-01]
H01L 2224/02141
. . . . . . .
Multilayer auxiliary member [2013-01]
H01L 2224/02145
. . . . . .
Shape of the auxiliary member [2013-01]
H01L 2224/0215
. . . . . .
Material of the auxiliary member [2013-01]
H01L 2224/02163
. . . . .
on the bonding area [2013-01]
H01L 2224/02165
. . . . . .
Reinforcing structures [2013-01]
H01L 2224/02166
. . . . . . .
Collar structures [2013-01]
H01L 2224/0217
. . . . . .
Alignment aids [2013-01]
H01L 2224/02175
. . . . . .
Flow barrier [2013-01]
H01L 2224/0218
. . . . . .
Structure of the auxiliary member [2013-01]
H01L 2224/02181
. . . . . . .
Multilayer auxiliary member [2013-01]
H01L 2224/02185
. . . . . .
Shape of the auxiliary member [2013-01]
H01L 2224/0219
. . . . . .
Material of the auxiliary member [2013-01]
H01L 2224/022
. . . . . .
Protective coating, i.e. protective bond-through coating [2013-01]
H01L 2224/02205
. . . . . . .
Structure of the protective coating [2013-01]
H01L 2224/02206
. . . . . . . .
Multilayer protective coating [2013-01]
H01L 2224/0221
. . . . . . .
Shape of the protective coating [2013-01]
H01L 2224/02215
. . . . . . .
Material of the protective coating [2013-01]
H01L 2224/02233
. . . . .
not in direct contact with the bonding area [2013-01]
H01L 2224/02235
. . . . . .
Reinforcing structures [2013-01]
H01L 2224/0224
. . . . . .
Alignment aids [2013-01]
H01L 2224/02245
. . . . . .
Flow barrier [2013-01]
H01L 2224/0225
. . . . . .
Structure of the auxiliary member [2013-01]
H01L 2224/02251
. . . . . . .
Multilayer auxiliary member [2013-01]
H01L 2224/02255
. . . . . .
Shape of the auxiliary member [2013-01]
H01L 2224/0226
. . . . . .
Material of the auxiliary member [2013-01]
H01L 2224/023
. . .
Redistribution layers [RDL] for bonding areas [2013-01]
H01L 2224/0231
. . . .
Manufacturing methods of the redistribution layers [2013-01]
H01L 2224/02311
. . . . .
Additive methods [2013-01]
H01L 2224/02313
. . . . .
Subtractive methods [2013-01]
H01L 2224/02315
. . . . .
Self-assembly processes [2013-01]
H01L 2224/02317
. . . . .
by local deposition [2013-01]
H01L 2224/02319
. . . . .
by using a preform [2013-01]
H01L 2224/02321
. . . . .
Reworking [2013-01]
H01L 2224/0233
. . . .
Structure of the redistribution layers [2013-01]
H01L 2224/02331
. . . . .
Multilayer structure [2013-01]
H01L 2224/02333
. . . . .
being a bump [2013-01]
H01L 2224/02335
. . . . .
Free-standing redistribution layers [2013-01]
H01L 2224/0235
. . . .
Shape of the redistribution layers [2013-01]
H01L 2224/02351
. . . . .
comprising interlocking features [2013-01]
H01L 2224/0236
. . . .
Shape of the insulating layers therebetween [2013-01]
H01L 2224/0237
. . . .
Disposition of the redistribution layers [2013-01]
H01L 2224/02371
. . . . .
connecting the bonding area on a surface of the semiconductor or solid-state body with another surface of the semiconductor or solid-state body [2013-01]
H01L 2224/02372
. . . . .
connecting to a via connection in the semiconductor or solid-state body [2013-01]
H01L 2224/02373
. . . . .
Layout of the redistribution layers [2013-01]
H01L 2224/02375
. . . . .
Top view [2013-01]
H01L 2224/02377
. . . . .
Fan-in arrangement [2013-01]
H01L 2224/02379
. . . . .
Fan-out arrangement [2013-01]
H01L 2224/02381
. . . . .
Side view [2013-01]
H01L 2224/0239
. . . .
Material of the redistribution layers [2013-01]
H01L 2224/024
. . . .
Material of the insulating layers therebetween [2013-01]
H01L 2224/03
. . .
Manufacturing methods [2013-01]
H01L 2224/03001
. . . .
Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate [2013-01]
H01L 2224/03002
. . . . .
for supporting the semiconductor or solid-state body [2013-01]
H01L 2224/03003
. . . . .
for holding or transferring a preform [2013-01]
H01L 2224/03005
. . . . .
for aligning the bonding area, e.g. marks, spacers [2013-01]
H01L 2224/03009
. . . . .
for protecting parts during manufacture [2013-01]
H01L 2224/03011
. . . .
Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device, e.g. coating, dummy feature [2013-01]
H01L 2224/03013
. . . . .
for holding or confining the bonding area, e.g. solder flow barrier [2013-01]
H01L 2224/03015
. . . . .
for aligning the bonding area, e.g. marks, spacers [2013-01]
H01L 2224/03019
. . . . .
for protecting parts during the process [2013-01]
H01L 2224/031
. . . .
Manufacture and pre-treatment of the bonding area preform [2013-01]
H01L 2224/0311
. . . . .
Shaping [2013-01]
H01L 2224/0312
. . . . .
Applying permanent coating [2013-01]
H01L 2224/033
. . . .
by local deposition of the material of the bonding area [2013-01]
H01L 2224/0331
. . . . .
in liquid form [2013-01]
H01L 2224/03312
. . . . . .
Continuous flow, e.g. using a microsyringe, a pump, a nozzle or extrusion [2017-08]
H01L 2224/03318
. . . . . .
by dispensing droplets [2013-01]
H01L 2224/0332
. . . . . .
Screen printing, i.e. using a stencil [2013-01]
H01L 2224/0333
. . . . .
in solid form [2013-01]
H01L 2224/03332
. . . . . .
using a powder [2013-01]
H01L 2224/03334
. . . . . .
using a preform [2013-01]
H01L 2224/034
. . . .
by blanket deposition of the material of the bonding area [2013-01]
H01L 2224/0341
. . . . .
in liquid form [2013-01]
H01L 2224/03416
. . . . . .
Spin coating [2013-01]
H01L 2224/03418
. . . . . .
Spray coating [2013-01]
H01L 2224/0342
. . . . . .
Curtain coating [2013-01]
H01L 2224/03422
. . . . . .
by dipping, e.g. in a solder bath (hot-dipping C23C 2/00) [2013-01]
H01L 2224/03424
. . . . . .
Immersion coating, e.g. in a solder bath (immersion processes C23C 2/00) [2013-01]
H01L 2224/03426
. . . . . .
Chemical solution deposition [CSD], i.e. using a liquid precursor [2013-01]
H01L 2224/03428
. . . . . .
Wave coating [2013-01]
H01L 2224/0343
. . . . .
in solid form [2013-01]
H01L 2224/03436
. . . . . .
Lamination of a preform, e.g. foil, sheet or layer [2013-01]
H01L 2224/03438
. . . . . . .
the preform being at least partly pre-patterned [2013-01]
H01L 2224/0344
. . . . . . .
by transfer printing [2013-01]
H01L 2224/03442
. . . . . .
using a powder [2013-01]
H01L 2224/03444
. . . . .
in gaseous form [2013-01]
H01L 2224/0345
. . . . . .
Physical vapour deposition [PVD], e.g. evaporation, or sputtering [2013-01]
H01L 2224/03452
. . . . . .
Chemical vapour deposition [CVD], e.g. laser CVD [2013-01]
H01L 2224/0346
. . . . .
Plating [2013-01]
H01L 2224/03462
. . . . . .
Electroplating [2013-01]
H01L 2224/03464
. . . . . .
Electroless plating [2013-01]
H01L 2224/03466
. . . . .
Conformal deposition, i.e. blanket deposition of a conformal layer on a patterned surface [2013-01]
H01L 2224/0347
. . . .
using a lift-off mask [2013-01]
H01L 2224/03472
. . . . .
Profile of the lift-off mask [2013-01]
H01L 2224/03474
. . . . .
Multilayer masks [2013-01]
H01L 2224/0348
. . . . .
Permanent masks, i.e. masks left in the finished device, e.g. passivation layers [2013-01]
H01L 2224/035
. . . .
by chemical or physical modification of a pre-existing or pre-deposited material [2013-01]
H01L 2224/03502
. . . . .
Pre-existing or pre-deposited material [2013-01]
H01L 2224/03505
. . . . .
Sintering [2013-01]
H01L 2224/0351
. . . . .
Anodisation [2013-01]
H01L 2224/03515
. . . . .
Curing and solidification, e.g. of a photosensitive material [2013-01]
H01L 2224/0352
. . . . .
Self-assembly, e.g. self-agglomeration of the material in a fluid [2013-01]
H01L 2224/03522
. . . . . .
Auxiliary means therefor, e.g. for self-assembly activation [2013-01]
H01L 2224/03524
. . . . . .
with special adaptation of the surface of the body to be connected or of an auxiliary substrate, e.g. surface shape specially adapted for the self-assembly process [2013-01]
H01L 2224/0355
. . . . .
Selective modification [2013-01]
H01L 2224/03552
. . . . . .
using a laser or a focussed ion beam [FIB] [2013-01]
H01L 2224/03554
. . . . . . .
Stereolithography, i.e. solidification of a pattern defined by a laser trace in a photosensitive resin [2013-01]
H01L 2224/036
. . . .
by patterning a pre-deposited material (treatment of parts prior to assembly of the devices H01L 21/48) [2013-01]
H01L 2224/03602
. . . . .
Mechanical treatment, e.g. polishing, grinding [2013-01]
H01L 2224/0361
. . . . .
Physical or chemical etching [2013-01]
H01L 2224/03612
. . . . . .
by physical means only [2013-01]
H01L 2224/03614
. . . . . .
by chemical means only [2013-01]
H01L 2224/03616
. . . . . .
Chemical mechanical polishing [CMP] [2013-01]
H01L 2224/03618
. . . . .
with selective exposure, development and removal of a photosensitive material, e.g. of a photosensitive conductive resin [2013-01]
H01L 2224/0362
. . . . . .
Photolithography [2013-01]
H01L 2224/03622
. . . . .
using masks [2013-01]
H01L 2224/0363
. . . . .
using a laser or a focused ion beam [FIB] [2013-01]
H01L 2224/03632
. . . . . .
Ablation by means of a laser or focused ion beam [FIB] [2013-01]
H01L 2224/037
. . . .
involving monitoring, e.g. feedback loop [2013-01]
H01L 2224/038
. . . .
Post-treatment of the bonding area [2013-01]
H01L 2224/0381
. . . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/0382
. . . . .
Applying permanent coating, e.g. in-situ coating [2013-01]
H01L 2224/03821
. . . . . .
Spray coating [2013-01]
H01L 2224/03822
. . . . . .
by dipping, e.g. in a solder bath [2013-01]
H01L 2224/03823
. . . . . .
Immersion coating, e.g. in a solder bath [2013-01]
H01L 2224/03824
. . . . . .
Chemical solution deposition [CSD], i.e. using a liquid precursor [2013-01]
H01L 2224/03825
. . . . . .
Plating, e.g. electroplating, electroless plating [2013-01]
H01L 2224/03826
. . . . . .
Physical vapour deposition [PVD], e.g. evaporation, or sputtering [2013-01]
H01L 2224/03827
. . . . . .
Chemical vapour deposition [CVD], e.g. laser CVD [2013-01]
H01L 2224/03828
. . . . .
Applying flux [2013-01]
H01L 2224/03829
. . . . .
Applying a precursor material [2013-01]
H01L 2224/0383
. . . . .
Reworking, e.g. shaping (reflowing H01L 2224/03849) [2013-01]
H01L 2224/03831
. . . . . .
involving a chemical process, e.g. etching the bonding area [2013-01]
H01L 2224/0384
. . . . . .
involving a mechanical process, e.g. planarising the bonding area [2013-01]
H01L 2224/03845
. . . . . .
Chemical mechanical polishing [CMP] [2013-01]
H01L 2224/03848
. . . . .
Thermal treatments, e.g. annealing, controlled cooling [2013-01]
H01L 2224/03849
. . . . . .
Reflowing [2013-01]
H01L 2224/039
. . . .
Methods of manufacturing bonding areas involving a specific sequence of method steps [2013-01]
H01L 2224/03901
. . . . .
with repetition of the same manufacturing step [2013-01]
H01L 2224/03902
. . . . . .
Multiple masking steps [2013-01]
H01L 2224/03903
. . . . . . .
using different masks [2013-01]
H01L 2224/03906
. . . . . . .
with modification of the same mask [2013-01]
H01L 2224/0391
. . . . .
Forming a passivation layer after forming the bonding area [2013-01]
H01L 2224/03912
. . . . .
the bump being used as a mask for patterning the bonding area [2013-01]
H01L 2224/03914
. . . . .
the bonding area, e.g. under bump metallisation [UBM], being used as a mask for patterning other parts [2013-01]
H01L 2224/03916
. . . . .
a passivation layer being used as a mask for patterning the bonding area [2013-01]
H01L 2224/0392
. . . . .
specifically adapted to include a probing step [2013-01]
H01L 2224/03921
. . . . . .
by repairing the bonding area damaged by the probing step [2013-01]
H01L 2224/04
. . .
Structure, shape, material or disposition of the bonding areas prior to the connecting process [2013-01]
H01L 2224/0401
. . . .
Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM] [2013-01]
H01L 2224/04026
. . . .
Bonding areas specifically adapted for layer connectors [2013-01]
H01L 2224/04034
. . . .
Bonding areas specifically adapted for strap connectors [2013-01]
H01L 2224/04042
. . . .
Bonding areas specifically adapted for wire connectors, e.g. wirebond pads [2013-01]
H01L 2224/0405
. . . .
Bonding areas specifically adapted for tape automated bonding [TAB] connectors [2013-01]
H01L 2224/04073
. . . .
Bonding areas specifically adapted for connectors of different types [2013-01]
H01L 2224/04105
. . . .
Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages [2013-01]
H01L 2224/05
. . . .
of an individual bonding area [2013-01]
H01L 2224/05001
. . . . .
Internal layers [2013-01]
H01L 2224/05005
. . . . . .
Structure [2013-01]
H01L 2224/05006
. . . . . . .
Dual damascene structure [2013-01]
H01L 2224/05007
. . . . . . .
comprising a core and a coating [2013-01]
H01L 2224/05008
. . . . . . .
Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g. [2013-01]
H01L 2224/05009
. . . . . . .
Bonding area integrally formed with a via connection of the semiconductor or solid-state body [2013-01]
H01L 2224/0501
. . . . . .
Shape [2013-01]
H01L 2224/05011
. . . . . . .
comprising apertures or cavities [2013-01]
H01L 2224/05012
. . . . . . .
in top view [2013-01]
H01L 2224/05013
. . . . . . . .
being rectangular [2013-01]
H01L 2224/05014
. . . . . . . .
being square [2013-01]
H01L 2224/05015
. . . . . . . .
being circular or elliptic [2013-01]
H01L 2224/05016
. . . . . . .
in side view [2013-01]
H01L 2224/05017
. . . . . . . .
comprising protrusions or indentations [2013-01]
H01L 2224/05018
. . . . . . . .
being a conformal layer on a patterned surface [2013-01]
H01L 2224/05019
. . . . . . . .
being a non conformal layer on a patterned surface [2013-01]
H01L 2224/0502
. . . . . .
Disposition [2013-01]
H01L 2224/05022
. . . . . . .
the internal layer being at least partially embedded in the surface [2013-01]
H01L 2224/05023
. . . . . . .
the whole internal layer protruding from the surface [2013-01]
H01L 2224/05024
. . . . . . .
the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body [2013-01]
H01L 2224/05025
. . . . . . .
the internal layer being disposed on a via connection of the semiconductor or solid-state body [2013-01]
H01L 2224/05026
. . . . . . .
the internal layer being disposed in a recess of the surface [2013-01]
H01L 2224/05027
. . . . . . . .
the internal layer extending out of an opening [2013-01]
H01L 2224/05073
. . . . . .
Single internal layer [2013-01]
H01L 2224/05075
. . . . . .
Plural internal layers [2013-01]
H01L 2224/05076
. . . . . . .
being mutually engaged together, e.g. through inserts [2013-01]
H01L 2224/05078
. . . . . . .
being disposed next to each other, e.g. side-to-side arrangements [2013-01]
H01L 2224/0508
. . . . . . .
being stacked [2013-01]
H01L 2224/05082
. . . . . . . .
Two-layer arrangements [2013-01]
H01L 2224/05083
. . . . . . . .
Three-layer arrangements [2013-01]
H01L 2224/05084
. . . . . . . .
Four-layer arrangements [2013-01]
H01L 2224/05085
. . . . . . . .
with additional elements, e.g. vias arrays, interposed between the stacked layers [2013-01]
H01L 2224/05086
. . . . . . . . .
Structure of the additional element [2013-01]
H01L 2224/05087
. . . . . . . . . .
being a via with at least a lining layer [2013-01]
H01L 2224/05088
. . . . . . . . .
Shape of the additional element [2013-01]
H01L 2224/05089
. . . . . . . . .
Disposition of the additional element [2013-01]
H01L 2224/0509
. . . . . . . . . .
of a single via [2013-01]
H01L 2224/05091
. . . . . . . . . . .
at the center of the internal layers [2013-01]
H01L 2224/05092
. . . . . . . . . . .
at the periphery of the internal layers [2013-01]
H01L 2224/05093
. . . . . . . . . .
of a plurality of vias [2013-01]
H01L 2224/05094
. . . . . . . . . . .
at the center of the internal layers [2013-01]
H01L 2224/05095
. . . . . . . . . . .
at the periphery of the internal layers [2013-01]
H01L 2224/05096
. . . . . . . . . . .
Uniform arrangement, i.e. array [2013-01]
H01L 2224/05097
. . . . . . . . . . .
Random arrangement [2013-01]
H01L 2224/05098
. . . . . . . . .
Material of the additional element [2013-01]
H01L 2224/05099
. . . . . .
Material [2013-01]
H01L 2224/051
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/05101
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/05105
. . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/05109
. . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/05111
. . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/05113
. . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/05114
. . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/05116
. . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/05117
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/05118
. . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/0512
. . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/05123
. . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/05124
. . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/05138
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/05139
. . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/05144
. . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/05147
. . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/05149
. . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/05155
. . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/05157
. . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/0516
. . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/05163
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/05164
. . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/05166
. . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/05169
. . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/0517
. . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/05171
. . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/05172
. . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/05173
. . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/05176
. . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/05178
. . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/05179
. . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/0518
. . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/05181
. . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/05183
. . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/05184
. . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/05186
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/05187
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05188) [2013-01]
H01L 2224/05188
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/0519
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/05191
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/05193
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/051 - H01L 2224/05191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/05194
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/051 - H01L 2224/05191 [2016-05]
H01L 2224/05195
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/051 - H01L 2224/05191 [2016-05]
H01L 2224/05198
. . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/05199
. . . . . . . .
Material of the matrix [2013-01]
H01L 2224/052
. . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/05201
. . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/05205
. . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/05209
. . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/05211
. . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/05213
. . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/05214
. . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/05216
. . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/05217
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/05218
. . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/0522
. . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/05223
. . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/05224
. . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/05238
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/05239
. . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/05244
. . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/05247
. . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/05249
. . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/05255
. . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/05257
. . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/0526
. . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/05263
. . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/05264
. . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/05266
. . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/05269
. . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/0527
. . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/05271
. . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/05272
. . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/05273
. . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/05276
. . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/05278
. . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/05279
. . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/0528
. . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/05281
. . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/05283
. . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/05284
. . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/05286
. . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/05287
. . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05288) [2013-01]
H01L 2224/05288
. . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/0529
. . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/05291
. . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/05293
. . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/052 - H01L 2224/05291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/05294
. . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/052 - H01L 2224/05291 [2016-05]
H01L 2224/05295
. . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/052 - H01L 2224/05291 [2016-05]
H01L 2224/05298
. . . . . . . .
Fillers [2013-01]
H01L 2224/05299
. . . . . . . . .
Base material [2013-01]
H01L 2224/053
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/05301
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/05305
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/05309
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/05311
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/05313
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/05314
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/05316
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/05317
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/05318
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/0532
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/05323
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/05324
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/05338
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/05339
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/05344
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/05347
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/05349
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/05355
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/05357
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/0536
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/05363
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/05364
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/05366
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/05369
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/0537
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/05371
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/05372
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/05373
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/05376
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/05378
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/05379
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/0538
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/05381
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/05383
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/05384
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/05386
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/05387
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05388) [2013-01]
H01L 2224/05388
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/0539
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/05391
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/05393
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/053 - H01L 2224/05391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/05394
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/053 - H01L 2224/05391 [2016-05]
H01L 2224/05395
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/053 - H01L 2224/05391 [2016-05]
H01L 2224/05398
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/05399
. . . . . . . . .
Coating material [2013-01]
H01L 2224/054
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/05401
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/05405
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/05409
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/05411
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/05413
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/05414
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/05416
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/05417
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/05418
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/0542
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/05423
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/05424
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/05438
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/05439
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/05444
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/05447
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/05449
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/05455
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/05457
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/0546
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/05463
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/05464
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/05466
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/05469
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/0547
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/05471
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/05472
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/05473
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/05476
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/05478
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/05479
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/0548
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/05481
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/05483
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/05484
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/05486
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/05487
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05488) [2013-01]
H01L 2224/05488
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/0549
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/05491
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/05493
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/054 - H01L 2224/05491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/05494
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/054 - H01L 2224/05491 [2016-05]
H01L 2224/05495
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/054 - H01L 2224/05491 [2016-05]
H01L 2224/05498
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/05499
. . . . . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/0554
. . . . .
External layer [2013-01]
H01L 2224/05541
. . . . . .
Structure [2013-01]
H01L 2224/05546
. . . . . . .
Dual damascene structure [2013-01]
H01L 2224/05547
. . . . . . .
comprising a core and a coating [2013-01]
H01L 2224/05548
. . . . . . .
Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body [2013-01]
H01L 2224/0555
. . . . . .
Shape [2013-01]
H01L 2224/05551
. . . . . . .
comprising apertures or cavities [2013-01]
H01L 2224/05552
. . . . . . .
in top view [2013-01]
H01L 2224/05553
. . . . . . . .
being rectangular [2013-01]
H01L 2224/05554
. . . . . . . .
being square [2013-01]
H01L 2224/05555
. . . . . . . .
being circular or elliptic [2013-01]
H01L 2224/05556
. . . . . . .
in side view [2013-01]
H01L 2224/05557
. . . . . . . .
comprising protrusions or indentations [2013-01]
H01L 2224/05558
. . . . . . . .
conformal layer on a patterned surface [2013-01]
H01L 2224/05559
. . . . . . . .
non conformal layer on a patterned surface [2013-01]
H01L 2224/0556
. . . . . .
Disposition [2013-01]
H01L 2224/05561
. . . . . . .
On the entire surface of the internal layer [2013-01]
H01L 2224/05562
. . . . . . .
On the entire exposed surface of the internal layer [2013-01]
H01L 2224/05563
. . . . . . .
Only on parts of the surface of the internal layer [2013-01]
H01L 2224/05564
. . . . . . . .
Only on the bonding interface of the bonding area [2013-01]
H01L 2224/05565
. . . . . . . .
Only outside the bonding interface of the bonding area [2013-01]
H01L 2224/05566
. . . . . . . .
Both on and outside the bonding interface of the bonding area [2013-01]
H01L 2224/05567
. . . . . . .
the external layer being at least partially embedded in the surface [2013-01]
H01L 2224/05568
. . . . . . .
the whole external layer protruding from the surface [2013-01]
H01L 2224/05569
. . . . . . .
the external layer being disposed on a redistribution layer on the semiconductor or solid-state body [2013-01]
H01L 2224/0557
. . . . . . .
the external layer being disposed on a via connection of the semiconductor or solid-state body [2013-01]
H01L 2224/05571
. . . . . . .
the external layer being disposed in a recess of the surface [2013-01]
H01L 2224/05572
. . . . . . . .
the external layer extending out of an opening [2013-01]
H01L 2224/05573
. . . . . .
Single external layer [2013-01]
H01L 2224/05575
. . . . . .
Plural external layers [2013-01]
H01L 2224/05576
. . . . . . .
being mutually engaged together, e.g. through inserts [2013-01]
H01L 2224/05578
. . . . . . .
being disposed next to each other, e.g. side-to-side arrangements [2013-01]
H01L 2224/0558
. . . . . . .
being stacked [2013-01]
H01L 2224/05582
. . . . . . . .
Two-layer coating [2013-01]
H01L 2224/05583
. . . . . . . .
Three-layer coating [2013-01]
H01L 2224/05584
. . . . . . . .
Four-layer coating [2013-01]
H01L 2224/05599
. . . . . .
Material [2013-01]
H01L 2224/056
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/05601
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/05605
. . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/05609
. . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/05611
. . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/05613
. . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/05614
. . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/05616
. . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/05617
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/05618
. . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/0562
. . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/05623
. . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/05624
. . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/05638
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/05639
. . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/05644
. . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/05647
. . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/05649
. . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/05655
. . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/05657
. . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/0566
. . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/05663
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/05664
. . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/05666
. . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/05669
. . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/0567
. . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/05671
. . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/05672
. . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/05673
. . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/05676
. . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/05678
. . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/05679
. . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/0568
. . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/05681
. . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/05683
. . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/05684
. . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/05686
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/05687
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05688) [2013-01]
H01L 2224/05688
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/0569
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/05691
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/05693
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/056 - H01L 2224/05691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/05694
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/056 - H01L 2224/05691 [2016-05]
H01L 2224/05695
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/056 - H01L 2224/05691 [2016-05]
H01L 2224/05698
. . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/05699
. . . . . . . .
Material of the matrix [2013-01]
H01L 2224/057
. . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/05701
. . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/05705
. . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/05709
. . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/05711
. . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/05713
. . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/05714
. . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/05716
. . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/05717
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/05718
. . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/0572
. . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/05723
. . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/05724
. . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/05738
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/05739
. . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/05744
. . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/05747
. . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/05749
. . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/05755
. . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/05757
. . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/0576
. . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/05763
. . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/05764
. . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/05766
. . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/05769
. . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/0577
. . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/05771
. . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/05772
. . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/05773
. . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/05776
. . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/05778
. . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/05779
. . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/0578
. . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/05781
. . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/05783
. . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/05784
. . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/05786
. . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/05787
. . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05788) [2013-01]
H01L 2224/05788
. . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/0579
. . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/05791
. . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/05793
. . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/057 - H01L 2224/05791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/05794
. . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/057 - H01L 2224/05791 [2016-05]
H01L 2224/05795
. . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/057 - H01L 2224/05791 [2016-05]
H01L 2224/05798
. . . . . . . .
Fillers [2013-01]
H01L 2224/05799
. . . . . . . . .
Base material [2013-01]
H01L 2224/058
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/05801
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/05805
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/05809
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/05811
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/05813
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/05814
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/05816
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/05817
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/05818
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/0582
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/05823
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/05824
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/05838
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/05839
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/05844
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/05847
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/05849
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/05855
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/05857
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/0586
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/05863
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/05864
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/05866
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/05869
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/0587
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/05871
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/05872
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/05873
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/05876
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/05878
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/05879
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/0588
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/05881
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/05883
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/05884
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/05886
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/05887
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05888) [2013-01]
H01L 2224/05888
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/0589
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/05891
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/05893
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/058 - H01L 2224/05891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/05894
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/058 - H01L 2224/05891 [2016-05]
H01L 2224/05895
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/058 - H01L 2224/05891 [2016-05]
H01L 2224/05898
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/05899
. . . . . . . . .
Coating material [2013-01]
H01L 2224/059
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/05901
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/05905
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/05909
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/05911
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/05913
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/05914
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/05916
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/05917
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/05918
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/0592
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/05923
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/05924
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/05938
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2017-08]
H01L 2224/05939
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/05944
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/05947
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/05949
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/05955
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/05957
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/0596
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/05963
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/05964
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/05966
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/05969
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/0597
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/05971
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/05972
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/05973
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/05976
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/05978
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/05979
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/0598
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/05981
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/05983
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/05984
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/05986
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/05987
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05988) [2013-01]
H01L 2224/05988
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/0599
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/05991
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/05993
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/059 - H01L 2224/05991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/05994
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/059 - H01L 2224/05991 [2016-05]
H01L 2224/05995
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/059 - H01L 2224/05991 [2016-05]
H01L 2224/05998
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/05999
. . . . . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/06
. . . .
of a plurality of bonding areas [2013-01]
H01L 2224/0601
. . . . .
Structure [2013-01]
H01L 2224/0603
. . . . . .
Bonding areas having different sizes, e.g. different heights or widths [2013-01]
H01L 2224/0605
. . . . .
Shape [2013-01]
H01L 2224/06051
. . . . . .
Bonding areas having different shapes [2013-01]
H01L 2224/061
. . . . .
Disposition [2013-01]
H01L 2224/06102
. . . . . .
the bonding areas being at different heights [2013-01]
H01L 2224/0612
. . . . . .
Layout [2013-01]
H01L 2224/0613
. . . . . . .
Square or rectangular array [2013-01]
H01L 2224/06131
. . . . . . . .
being uniform, i.e. having a uniform pitch across the array [2013-01]
H01L 2224/06132
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/06133
. . . . . . . .
with a staggered arrangement, e.g. depopulated array [2013-01]
H01L 2224/06134
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/06135
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/06136
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/06137
. . . . . . . .
with specially adapted redistribution layers [RDL] [2013-01]
H01L 2224/06138
. . . . . . . . .
being disposed in a single wiring level, i.e. planar layout [2013-01]
H01L 2224/06139
. . . . . . . . .
being disposed in different wiring levels, i.e. resurf layout [2013-01]
H01L 2224/0614
. . . . . . .
Circular array, i.e. array with radial symmetry [2013-01]
H01L 2224/06141
. . . . . . . .
being uniform, i.e. having a uniform pitch across the array [2013-01]
H01L 2224/06142
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/06143
. . . . . . . .
with a staggered arrangement, e.g. depopulated array [2013-01]
H01L 2224/06144
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/06145
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/06146
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/06147
. . . . . . . .
with specially adapted redistribution layers [RDL] [2013-01]
H01L 2224/06148
. . . . . . . . .
being disposed in a single wiring level, i.e. planar layout [2013-01]
H01L 2224/06149
. . . . . . . . .
being disposed in different wiring levels, i.e. resurf layout [2013-01]
H01L 2224/0615
. . . . . . .
Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry [2013-01]
H01L 2224/06151
. . . . . . . .
being uniform, i.e. having a uniform pitch across the array [2013-01]
H01L 2224/06152
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/06153
. . . . . . . .
with a staggered arrangement, e.g. depopulated array [2013-01]
H01L 2224/06154
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/06155
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/06156
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/06157
. . . . . . . .
with specially adapted redistribution layers [RDL] [2013-01]
H01L 2224/06158
. . . . . . . . .
being disposed in a single wiring level, i.e. planar layout [2013-01]
H01L 2224/06159
. . . . . . . . .
being disposed in different wiring levels, i.e. resurf layout [2013-01]
H01L 2224/0616
. . . . . . .
Random array, i.e. array with no symmetry [2013-01]
H01L 2224/06163
. . . . . . . .
with a staggered arrangement [2013-01]
H01L 2224/06164
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/06165
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/06166
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/06167
. . . . . . . .
with specially adapted redistribution layers [RDL] [2013-01]
H01L 2224/06168
. . . . . . . . .
being disposed in a single wiring level, i.e. planar layout [2013-01]
H01L 2224/06169
. . . . . . . . .
being disposed in different wiring levels, i.e. resurf layout [2013-01]
H01L 2224/06177
. . . . . . .
Combinations of arrays with different layouts [2013-01]
H01L 2224/06179
. . . . . . .
Corner adaptations, i.e. disposition of the bonding areas at the corners of the semiconductor or solid-state body [2013-01]
H01L 2224/0618
. . . . . .
being disposed on at least two different sides of the body, e.g. dual array [2013-01]
H01L 2224/06181
. . . . . . .
On opposite sides of the body [2013-01]
H01L 2224/06182
. . . . . . . .
with specially adapted redistribution layers [RDL] [2013-01]
H01L 2224/06183
. . . . . . .
On contiguous sides of the body [2013-01]
H01L 2224/06187
. . . . . . . .
with specially adapted redistribution layers [RDL] [2013-01]
H01L 2224/06188
. . . . . . . . .
being disposed in a single wiring level, i.e. planar layout [2013-01]
H01L 2224/06189
. . . . . . . . .
being disposed in different wiring levels, i.e. resurf layout [2013-01]
H01L 2224/065
. . . . .
Material [2013-01]
H01L 2224/06505
. . . . . .
Bonding areas having different materials [2013-01]
H01L 2224/0651
. . . . .
Function [2013-01]
H01L 2224/06515
. . . . . .
Bonding areas having different functions [2013-01]
H01L 2224/06517
. . . . . . .
including bonding areas providing primarily mechanical bonding [2013-01]
H01L 2224/06519
. . . . . . .
including bonding areas providing primarily thermal dissipation [2013-01]
H01L 2224/07
. . .
Structure, shape, material or disposition of the bonding areas after the connecting process [2013-01]
H01L 2224/08
. . . .
of an individual bonding area [2013-01]
H01L 2224/0801
. . . . .
Structure [2013-01]
H01L 2224/0805
. . . . .
Shape [2013-01]
H01L 2224/08052
. . . . . .
in top view [2013-01]
H01L 2224/08053
. . . . . . .
being non uniform along the bonding area [2013-01]
H01L 2224/08054
. . . . . . .
being rectangular [2013-01]
H01L 2224/08055
. . . . . . .
being square [2013-01]
H01L 2224/08056
. . . . . . .
being circular or elliptic [2013-01]
H01L 2224/08057
. . . . . .
in side view [2013-01]
H01L 2224/08058
. . . . . . .
being non uniform along the bonding area [2013-01]
H01L 2224/08059
. . . . . . .
comprising protrusions or indentations [2013-01]
H01L 2224/0807
. . . . . .
of bonding interfaces, e.g. interlocking features [2013-01]
H01L 2224/081
. . . . .
Disposition [2013-01]
H01L 2224/08111
. . . . . .
the bonding area being disposed in a recess of the surface of the body [2013-01]
H01L 2224/08112
. . . . . .
the bonding area being at least partially embedded in the surface of the body [2013-01]
H01L 2224/08113
. . . . . .
the whole bonding area protruding from the surface of the body [2013-01]
H01L 2224/0812
. . . . . .
the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding [2013-01]
H01L 2224/08121
. . . . . . .
the connected bonding areas being not aligned with respect to each other [2013-01]
H01L 2224/08123
. . . . . . .
the bonding area connecting directly to at least two bonding areas [2013-01]
H01L 2224/08135
. . . . . . .
the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip [2016-08]
H01L 2224/08137
. . . . . . . .
the bodies being arranged next to each other, e.g. on a common substrate [2013-01]
H01L 2224/08145
. . . . . . . .
the bodies being stacked [2013-01]
H01L 2224/08146
. . . . . . . . .
the bonding area connecting to a via connection in the body [2013-01]
H01L 2224/08147
. . . . . . . . .
the bonding area connecting to a bonding area disposed in a recess of the surface of the body [2013-01]
H01L 2224/08148
. . . . . . . . .
the bonding area connecting to a bonding area protruding from the surface of the body [2013-01]
H01L 2224/08151
. . . . . . .
the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive [2013-01]
H01L 2224/08153
. . . . . . . .
the body and the item being arranged next to each other, e.g. on a common substrate [2013-01]
H01L 2224/08155
. . . . . . . . .
the item being non-metallic, e.g. being an insulating substrate with or without metallisation [2013-01]
H01L 2224/0816
. . . . . . . . . .
the bonding area connecting to a pin of the item [2013-01]
H01L 2224/08163
. . . . . . . . . .
the bonding area connecting to a potential ring of the item [2013-01]
H01L 2224/08165
. . . . . . . . . .
the bonding area connecting to a via metallisation of the item [2013-01]
H01L 2224/08167
. . . . . . . . . .
the bonding area connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/08168
. . . . . . . . . .
the bonding area connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/08175
. . . . . . . . .
the item being metallic [2013-01]
H01L 2224/08183
. . . . . . . . . .
the bonding area connecting to a potential ring of the item [2013-01]
H01L 2224/08187
. . . . . . . . . .
the bonding area connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/08188
. . . . . . . . . .
the bonding area connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/08195
. . . . . . . . .
the item being a discrete passive component [2013-01]
H01L 2224/08197
. . . . . . . . . .
the bonding area connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/08198
. . . . . . . . . .
the bonding area connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/08221
. . . . . . . .
the body and the item being stacked [2013-01]
H01L 2224/08225
. . . . . . . . .
the item being non-metallic, e.g. insulating substrate with or without metallisation [2013-01]
H01L 2224/0823
. . . . . . . . . .
the bonding area connecting to a pin of the item [2013-01]
H01L 2224/08233
. . . . . . . . . .
the bonding area connecting to a potential ring of the item [2013-01]
H01L 2224/08235
. . . . . . . . . .
the bonding area connecting to a via metallisation of the item [2013-01]
H01L 2224/08237
. . . . . . . . . .
the bonding area connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/08238
. . . . . . . . . .
the bonding area connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/08245
. . . . . . . . .
the item being metallic [2013-01]
H01L 2224/08253
. . . . . . . . . .
the bonding area connecting to a potential ring of the item [2013-01]
H01L 2224/08257
. . . . . . . . . .
the bonding area connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/08258
. . . . . . . . . .
the bonding area connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/08265
. . . . . . . . .
the item being a discrete passive component [2013-01]
H01L 2224/08267
. . . . . . . . . .
the bonding area connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/08268
. . . . . . . . . .
the bonding area connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/085
. . . . .
Material [2013-01]
H01L 2224/08501
. . . . . .
at the bonding interface [2013-01]
H01L 2224/08502
. . . . . . .
comprising an eutectic alloy [2013-01]
H01L 2224/08503
. . . . . . .
comprising an intermetallic compound [2013-01]
H01L 2224/08505
. . . . . .
outside the bonding interface [2013-01]
H01L 2224/08506
. . . . . . .
comprising an eutectic alloy [2013-01]
H01L 2224/09
. . . .
of a plurality of bonding areas [2013-01]
H01L 2224/0901
. . . . .
Structure [2013-01]
H01L 2224/0903
. . . . . .
Bonding areas having different sizes, e.g. different diameters, heights or widths [2013-01]
H01L 2224/0905
. . . . .
Shape [2013-01]
H01L 2224/09051
. . . . . .
Bonding areas having different shapes [2013-01]
H01L 2224/09055
. . . . . . .
of their bonding interfaces [2013-01]
H01L 2224/091
. . . . .
Disposition [2013-01]
H01L 2224/09102
. . . . . .
the bonding areas being at different heights [2013-01]
H01L 2224/09103
. . . . . . .
on the semiconductor or solid-state body [2013-01]
H01L 2224/09104
. . . . . . .
outside the semiconductor or solid-state body [2013-01]
H01L 2224/0912
. . . . . .
Layout (layout of bonding areas prior to the connecting process H01L 2224/0612) [2013-01]
H01L 2224/0913
. . . . . . .
Square or rectangular array [2013-01]
H01L 2224/09132
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/09133
. . . . . . . .
with a staggered arrangement, e.g. depopulated array [2013-01]
H01L 2224/09134
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/09135
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/0914
. . . . . . .
Circular array, i.e. array with radial symmetry [2013-01]
H01L 2224/09142
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/09143
. . . . . . . .
with a staggered arrangement [2013-01]
H01L 2224/09144
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/09145
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/0915
. . . . . . .
Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry [2013-01]
H01L 2224/09151
. . . . . . . .
being uniform, i.e. having a uniform pitch across the array [2013-01]
H01L 2224/09152
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/09153
. . . . . . . .
with a staggered arrangement, e.g. depopulated array [2013-01]
H01L 2224/09154
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/09155
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/09156
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/0916
. . . . . . .
Random array, i.e. array with no symmetry [2013-01]
H01L 2224/09163
. . . . . . . .
with a staggered arrangement [2013-01]
H01L 2224/09164
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/09165
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/09177
. . . . . . .
Combinations of arrays with different layouts [2013-01]
H01L 2224/09179
. . . . . . .
Corner adaptations, i.e. disposition of the bonding areas at the corners of the semiconductor or solid-state body [2013-01]
H01L 2224/0918
. . . . . .
being disposed on at least two different sides of the body, e.g. dual array [2013-01]
H01L 2224/09181
. . . . . . .
On opposite sides of the body [2013-01]
H01L 2224/09183
. . . . . . .
On contiguous sides of the body [2013-01]
H01L 2224/095
. . . . .
Material [2013-01]
H01L 2224/09505
. . . . . .
Bonding areas having different materials [2013-01]
H01L 2224/0951
. . . . .
Function [2013-01]
H01L 2224/09515
. . . . . .
Bonding areas having different functions [2013-01]
H01L 2224/09517
. . . . . . .
including bonding areas providing primarily mechanical support [2013-01]
H01L 2224/09519
. . . . . . .
including bonding areas providing primarily thermal dissipation [2013-01]
H01L 2224/10
. .
Bump connectors; Manufacturing methods related thereto [2013-01]
H01L 2224/1012
. . .
Auxiliary members for bump connectors, e.g. spacers [2013-01]
H01L 2224/10122
. . . .
being formed on the semiconductor or solid-state body to be connected [2013-01]
H01L 2224/10125
. . . . .
Reinforcing structures [2013-01]
H01L 2224/10126
. . . . . .
Bump collar [2013-01]
H01L 2224/10135
. . . . .
Alignment aids [2013-01]
H01L 2224/10145
. . . . .
Flow barriers [2013-01]
H01L 2224/10152
. . . .
being formed on an item to be connected not being a semiconductor or solid-state body [2013-01]
H01L 2224/10155
. . . . .
Reinforcing structures [2013-01]
H01L 2224/10156
. . . . . .
Bump collar [2013-01]
H01L 2224/10165
. . . . .
Alignment aids [2013-01]
H01L 2224/10175
. . . . .
Flow barriers [2013-01]
H01L 2224/11
. . .
Manufacturing methods [2013-01]
H01L 2224/11001
. . . .
Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate [2013-01]
H01L 2224/11002
. . . . .
for supporting the semiconductor or solid-state body [2013-01]
H01L 2224/11003
. . . . .
for holding or transferring the bump preform [2013-01]
H01L 2224/11005
. . . . .
for aligning the bump connector, e.g. marks, spacers [2013-01]
H01L 2224/11009
. . . . .
for protecting parts during manufacture [2013-01]
H01L 2224/11011
. . . .
Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device, e.g. coating, dummy feature [2013-01]
H01L 2224/11013
. . . . .
for holding or confining the bump connector, e.g. solder flow barrier [2013-01]
H01L 2224/11015
. . . . .
for aligning the bump connector, e.g. marks, spacers [2013-01]
H01L 2224/11019
. . . . .
for protecting parts during the process [2013-01]
H01L 2224/111
. . . .
Manufacture and pre-treatment of the bump connector preform [2013-01]
H01L 2224/1111
. . . . .
Shaping [2013-01]
H01L 2224/1112
. . . . .
Applying permanent coating [2013-01]
H01L 2224/113
. . . .
by local deposition of the material of the bump connector [2013-01]
H01L 2224/1131
. . . . .
in liquid form [2013-01]
H01L 2224/11312
. . . . . .
Continuous flow, e.g. using a microsyringe, a pump, a nozzle or extrusion [2017-08]
H01L 2224/11318
. . . . . .
by dispensing droplets [2013-01]
H01L 2224/1132
. . . . . .
Screen printing, i.e. using a stencil [2013-01]
H01L 2224/1133
. . . . .
in solid form [2013-01]
H01L 2224/11332
. . . . . .
using a powder [2013-01]
H01L 2224/11334
. . . . . .
using preformed bumps [2013-01]
H01L 2224/1134
. . . . . .
Stud bumping, i.e. using a wire-bonding apparatus [2013-01]
H01L 2224/114
. . . .
by blanket deposition of the material of the bump connector [2013-01]
H01L 2224/1141
. . . . .
in liquid form [2013-01]
H01L 2224/11416
. . . . . .
Spin coating [2013-01]
H01L 2224/11418
. . . . . .
Spray coating [2013-01]
H01L 2224/1142
. . . . . .
Curtain coating [2013-01]
H01L 2224/11422
. . . . . .
by dipping, e.g. in a solder bath (hot-dipping C23C 2/00) [2013-01]
H01L 2224/11424
. . . . . .
Immersion coating, e.g. in a solder bath (immersion processes C23C 2/00) [2013-01]
H01L 2224/11426
. . . . . .
Chemical solution deposition [CSD], i.e. using a liquid precursor [2013-01]
H01L 2224/11428
. . . . . .
Wave coating [2013-01]
H01L 2224/1143
. . . . .
in solid form [2013-01]
H01L 2224/11436
. . . . . .
Lamination of a preform, e.g. foil, sheet or layer [2013-01]
H01L 2224/11438
. . . . . . .
the preform being at least partly pre-patterned [2013-01]
H01L 2224/1144
. . . . . . .
by transfer printing [2013-01]
H01L 2224/11442
. . . . . .
using a powder [2013-01]
H01L 2224/11444
. . . . .
in gaseous form [2013-01]
H01L 2224/1145
. . . . . .
Physical vapour deposition [PVD], e.g. evaporation, or sputtering [2013-01]
H01L 2224/11452
. . . . . .
Chemical vapour deposition [CVD], e.g. laser CVD [2013-01]
H01L 2224/1146
. . . . .
Plating [2013-01]
H01L 2224/11462
. . . . . .
Electroplating [2013-01]
H01L 2224/11464
. . . . . .
Electroless plating [2013-01]
H01L 2224/11466
. . . . .
Conformal deposition, i.e. blanket deposition of a conformal layer on a patterned surface [2013-01]
H01L 2224/1147
. . . .
using a lift-off mask [2013-01]
H01L 2224/11472
. . . . .
Profile of the lift-off mask [2013-01]
H01L 2224/11474
. . . . .
Multilayer masks [2013-01]
H01L 2224/1148
. . . . .
Permanent masks, i.e. masks left in the finished device, e.g. passivation layers [2013-01]
H01L 2224/115
. . . .
by chemical or physical modification of a pre-existing or pre-deposited material [2013-01]
H01L 2224/11502
. . . . .
Pre-existing or pre-deposited material [2013-01]
H01L 2224/11505
. . . . .
Sintering [2013-01]
H01L 2224/1151
. . . . .
Anodisation [2013-01]
H01L 2224/11515
. . . . .
Curing and solidification, e.g. of a photosensitive bump material [2013-01]
H01L 2224/1152
. . . . .
Self-assembly, e.g. self-agglomeration of the bump material in a fluid [2013-01]
H01L 2224/11522
. . . . . .
Auxiliary means therefor, e.g. for self-assembly activation [2013-01]
H01L 2224/11524
. . . . . .
with special adaptation of the surface or of an auxiliary substrate, e.g. surface shape specially adapted for the self-assembly process [2013-01]
H01L 2224/11526
. . . . . .
involving the material of the bonding area, e.g. bonding pad or under bump metallisation [UBM] [2013-01]
H01L 2224/1155
. . . . .
Selective modification [2013-01]
H01L 2224/11552
. . . . . .
using a laser or a focussed ion beam [FIB] [2013-01]
H01L 2224/11554
. . . . . . .
Stereolithography, i.e. solidification of a pattern defined by a laser trace in a photosensitive resin [2013-01]
H01L 2224/116
. . . .
by patterning a pre-deposited material (treatment of parts prior to assembly of the devices H01L 21/48) [2013-01]
H01L 2224/11602
. . . . .
Mechanical treatment, e.g. polishing, grinding [2013-01]
H01L 2224/1161
. . . . .
Physical or chemical etching [2013-01]
H01L 2224/11612
. . . . . .
by physical means only [2013-01]
H01L 2224/11614
. . . . . .
by chemical means only [2013-01]
H01L 2224/11616
. . . . . .
Chemical mechanical polishing [CMP] [2013-01]
H01L 2224/11618
. . . . .
with selective exposure, development and removal of a photosensitive bump material, e.g. of a photosensitive conductive resin [2013-01]
H01L 2224/1162
. . . . .
using masks [2013-01]
H01L 2224/11622
. . . . . .
Photolithography [2013-01]
H01L 2224/1163
. . . . .
using a laser or a focused ion beam [FIB] [2013-01]
H01L 2224/11632
. . . . . .
Ablation by means of a laser or focused ion beam [FIB] [2013-01]
H01L 2224/117
. . . .
involving monitoring, e.g. feedback loop [2013-01]
H01L 2224/118
. . . .
Post-treatment of the bump connector [2013-01]
H01L 2224/1181
. . . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/1182
. . . . .
Applying permanent coating, e.g. in-situ coating [2013-01]
H01L 2224/11821
. . . . . .
Spray coating [2013-01]
H01L 2224/11822
. . . . . .
by dipping, e.g. in a solder bath [2013-01]
H01L 2224/11823
. . . . . .
Immersion coating, e.g. in a solder bath [2013-01]
H01L 2224/11824
. . . . . .
Chemical solution deposition [CSD], i.e. using a liquid precursor [2013-01]
H01L 2224/11825
. . . . . .
Plating, e.g. electroplating, electroless plating [2013-01]
H01L 2224/11826
. . . . . .
Physical vapour deposition [PVD], e.g. evaporation, or sputtering [2013-01]
H01L 2224/11827
. . . . . .
Chemical vapour deposition [CVD], e.g. laser CVD [2013-01]
H01L 2224/1183
. . . . .
Reworking, e.g. shaping (reflowing H01L 2224/11849) [2013-01]
H01L 2224/11831
. . . . . .
involving a chemical process, e.g. etching the bump connector [2013-01]
H01L 2224/1184
. . . . . .
involving a mechanical process, e.g. planarising the bump connector [2013-01]
H01L 2224/11845
. . . . . .
Chemical mechanical polishing [CMP] [2013-01]
H01L 2224/11848
. . . . .
Thermal treatments, e.g. annealing, controlled cooling [2013-01]
H01L 2224/11849
. . . . . .
Reflowing [2013-01]
H01L 2224/119
. . . .
Methods of manufacturing bump connectors involving a specific sequence of method steps [2013-01]
H01L 2224/11901
. . . . .
with repetition of the same manufacturing step [2013-01]
H01L 2224/11902
. . . . . .
Multiple masking steps [2013-01]
H01L 2224/11903
. . . . . . .
using different masks [2013-01]
H01L 2224/11906
. . . . . . .
with modification of the same mask [2013-01]
H01L 2224/1191
. . . . .
Forming a passivation layer after forming the bump connector [2013-01]
H01L 2224/11912
. . . . .
the bump being used as a mask for patterning other parts [2013-01]
H01L 2224/11914
. . . . .
the under bump metallisation [UBM] being used as a mask for patterning other parts [2013-01]
H01L 2224/11916
. . . . .
a passivation layer being used as a mask for patterning other parts [2013-01]
H01L 2224/12
. . .
Structure, shape, material or disposition of the bump connectors prior to the connecting process [2013-01]
H01L 2224/12105
. . . .
Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages [2013-01]
H01L 2224/13
. . . .
of an individual bump connector [2013-01]
H01L 2224/13001
. . . . .
Core members of the bump connector [2013-01]
H01L 2224/13005
. . . . . .
Structure [2013-01]
H01L 2224/13006
. . . . . . .
Bump connector larger than the underlying bonding area, e.g. than the under bump metallisation [UBM] [2013-01]
H01L 2224/13007
. . . . . . .
Bump connector smaller than the underlying bonding area, e.g. than the under bump metallisation [UBM] [2013-01]
H01L 2224/13008
. . . . . . .
Bump connector integrally formed with a redistribution layer on the semiconductor or solid-state body [2013-01]
H01L 2224/13009
. . . . . . .
Bump connector integrally formed with a via connection of the semiconductor or solid-state body [2013-01]
H01L 2224/1301
. . . . . .
Shape [2013-01]
H01L 2224/13011
. . . . . . .
comprising apertures or cavities, e.g. hollow bump [2013-01]
H01L 2224/13012
. . . . . . .
in top view [2013-01]
H01L 2224/13013
. . . . . . . .
being rectangular or square [2013-01]
H01L 2224/13014
. . . . . . . .
being circular or elliptic [2013-01]
H01L 2224/13015
. . . . . . . .
comprising protrusions or indentations [2013-01]
H01L 2224/13016
. . . . . . .
in side view [2013-01]
H01L 2224/13017
. . . . . . . .
being non uniform along the bump connector [2013-01]
H01L 2224/13018
. . . . . . . .
comprising protrusions or indentations [2013-01]
H01L 2224/13019
. . . . . . . . .
at the bonding interface of the bump connector, i.e. on the surface of the bump connector [2013-01]
H01L 2224/1302
. . . . . .
Disposition [2013-01]
H01L 2224/13021
. . . . . . .
the bump connector being disposed in a recess of the surface [2013-01]
H01L 2224/13022
. . . . . . .
the bump connector being at least partially embedded in the surface [2013-01]
H01L 2224/13023
. . . . . . .
the whole bump connector protruding from the surface [2013-01]
H01L 2224/13024
. . . . . . .
the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body [2013-01]
H01L 2224/13025
. . . . . . .
the bump connector being disposed on a via connection of the semiconductor or solid-state body [2013-01]
H01L 2224/13026
. . . . . . .
relative to the bonding area, e.g. bond pad, of the semiconductor or solid-state body [2013-01]
H01L 2224/13027
. . . . . . . .
the bump connector being offset with respect to the bonding area, e.g. bond pad [2013-01]
H01L 2224/13028
. . . . . . . .
the bump connector being disposed on at least two separate bonding areas, e.g. bond pads [2013-01]
H01L 2224/13075
. . . . . .
Plural core members [2013-01]
H01L 2224/13076
. . . . . . .
being mutually engaged together, e.g. through inserts [2013-01]
H01L 2224/13078
. . . . . . .
being disposed next to each other, e.g. side-to-side arrangements [2013-01]
H01L 2224/1308
. . . . . . .
being stacked [2013-01]
H01L 2224/13082
. . . . . . . .
Two-layer arrangements [2013-01]
H01L 2224/13083
. . . . . . . .
Three-layer arrangements [2013-01]
H01L 2224/13084
. . . . . . . .
Four-layer arrangements [2013-01]
H01L 2224/13099
. . . . . .
Material [2013-01]
H01L 2224/131
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/13101
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/13105
. . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/13109
. . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/13111
. . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/13113
. . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/13114
. . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/13116
. . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/13117
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/13118
. . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/1312
. . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/13123
. . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/13124
. . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/13138
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/13139
. . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/13144
. . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/13147
. . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/13149
. . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/13155
. . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/13157
. . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/1316
. . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/13163
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/13164
. . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/13166
. . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/13169
. . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/1317
. . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/13171
. . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/13172
. . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/13173
. . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/13176
. . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/13178
. . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/13179
. . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/1318
. . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/13181
. . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/13183
. . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/13184
. . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/13186
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/13187
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/13188) [2013-01]
H01L 2224/13188
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/1319
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/13191
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/13193
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/131 - H01L 2224/13191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/13194
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/131 - H01L 2224/13191 [2016-05]
H01L 2224/13195
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/131 - H01L 2224/13191 [2016-05]
H01L 2224/13198
. . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/13199
. . . . . . . .
Material of the matrix [2013-01]
H01L 2224/132
. . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/13201
. . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/13205
. . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/13209
. . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/13211
. . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/13213
. . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/13214
. . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/13216
. . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/13217
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/13218
. . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/1322
. . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/13223
. . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/13224
. . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/13238
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/13239
. . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/13244
. . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/13247
. . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/13249
. . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/13255
. . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/13257
. . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/1326
. . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/13263
. . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/13264
. . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/13266
. . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/13269
. . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/1327
. . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/13271
. . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/13272
. . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/13273
. . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/13276
. . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/13278
. . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/13279
. . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/1328
. . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/13281
. . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/13283
. . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/13284
. . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/13286
. . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/13287
. . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/13288) [2013-01]
H01L 2224/13288
. . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/1329
. . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/13291
. . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/13293
. . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/132 - H01L 2224/13291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/13294
. . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/132 - H01L 2224/13291 [2016-05]
H01L 2224/13295
. . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/132 - H01L 2224/13291 [2016-05]
H01L 2224/13298
. . . . . . . .
Fillers [2013-01]
H01L 2224/13299
. . . . . . . . .
Base material [2013-01]
H01L 2224/133
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/13301
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/13305
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/13309
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/13311
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/13313
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/13314
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/13316
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/13317
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/13318
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/1332
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/13323
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/13324
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/13338
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/13339
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/13344
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/13347
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/13349
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/13355
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/13357
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/1336
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/13363
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/13364
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/13366
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/13369
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/1337
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/13371
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/13372
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/13373
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/13376
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/13378
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/13379
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/1338
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/13381
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/13383
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/13384
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/13386
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/13387
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/13388) [2013-01]
H01L 2224/13388
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/1339
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/13391
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/13393
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/133 - H01L 2224/13391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/13394
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/133 - H01L 2224/13391 [2016-05]
H01L 2224/13395
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/133 - H01L 2224/13391 [2016-05]
H01L 2224/13398
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/13399
. . . . . . . . .
Coating material [2013-01]
H01L 2224/134
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/13401
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/13405
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/13409
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/13411
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/13413
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/13414
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/13416
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/13417
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/13418
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/1342
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/13423
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/13424
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/13438
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/13439
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/13444
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/13447
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/13449
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/13455
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/13457
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/1346
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/13463
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/13464
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/13466
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/13469
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/1347
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/13471
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/13472
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/13473
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/13476
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/13478
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/13479
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/1348
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/13481
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/13483
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/13484
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/13486
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/13487
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/13488) [2015-11]
H01L 2224/13488
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/1349
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/13491
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/13493
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/134 - H01L 2224/13491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/13494
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/134 - H01L 2224/13491 [2016-05]
H01L 2224/13495
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/134 - H01L 2224/13491 [2016-05]
H01L 2224/13498
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/13499
. . . . . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/1354
. . . . .
Coating [2013-01]
H01L 2224/13541
. . . . . .
Structure [2013-01]
H01L 2224/1355
. . . . . .
Shape [2013-01]
H01L 2224/13551
. . . . . . .
being non uniform [2013-01]
H01L 2224/13552
. . . . . . . .
comprising protrusions or indentations [2013-01]
H01L 2224/13553
. . . . . . . . .
at the bonding interface of the bump connector, i.e. on the surface of the bump connector [2013-01]
H01L 2224/1356
. . . . . .
Disposition [2013-01]
H01L 2224/13561
. . . . . . .
On the entire surface of the core, i.e. integral coating [2013-01]
H01L 2224/13562
. . . . . . .
On the entire exposed surface of the core [2013-01]
H01L 2224/13563
. . . . . . .
Only on parts of the surface of the core, i.e. partial coating [2013-01]
H01L 2224/13564
. . . . . . . .
Only on the bonding interface of the bump connector [2013-01]
H01L 2224/13565
. . . . . . . .
Only outside the bonding interface of the bump connector [2013-01]
H01L 2224/13566
. . . . . . . .
Both on and outside the bonding interface of the bump connector [2013-01]
H01L 2224/1357
. . . . . .
Single coating layer [2013-01]
H01L 2224/13575
. . . . . .
Plural coating layers [2013-01]
H01L 2224/13576
. . . . . . .
being mutually engaged together, e.g. through inserts [2013-01]
H01L 2224/13578
. . . . . . .
being disposed next to each other, e.g. side-to-side arrangements [2013-01]
H01L 2224/1358
. . . . . . .
being stacked [2013-01]
H01L 2224/13582
. . . . . . . .
Two-layer coating [2013-01]
H01L 2224/13583
. . . . . . . .
Three-layer coating [2013-01]
H01L 2224/13584
. . . . . . . .
Four-layer coating [2013-01]
H01L 2224/13599
. . . . . .
Material [2013-01]
H01L 2224/136
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/13601
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/13605
. . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/13609
. . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/13611
. . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/13613
. . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/13614
. . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/13616
. . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/13617
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/13618
. . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/1362
. . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/13623
. . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/13624
. . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/13638
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/13639
. . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/13644
. . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/13647
. . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/13649
. . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/13655
. . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/13657
. . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/1366
. . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/13663
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/13664
. . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/13666
. . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/13669
. . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/1367
. . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/13671
. . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/13672
. . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/13673
. . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/13676
. . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/13678
. . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/13679
. . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/1368
. . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/13681
. . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/13683
. . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/13684
. . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/13686
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/13687
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/13688) [2013-01]
H01L 2224/13688
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/1369
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/13691
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/13693
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/136 - H01L 2224/13691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/13694
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/136 - H01L 2224/13691 [2016-05]
H01L 2224/13695
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/136 - H01L 2224/13691 [2016-05]
H01L 2224/13698
. . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/13699
. . . . . . . .
Material of the matrix [2013-01]
H01L 2224/137
. . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/13701
. . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/13705
. . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/13709
. . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/13711
. . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/13713
. . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/13714
. . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/13716
. . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/13717
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/13718
. . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/1372
. . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/13723
. . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/13724
. . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/13738
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/13739
. . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/13744
. . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/13747
. . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/13749
. . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/13755
. . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/13757
. . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/1376
. . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/13763
. . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/13764
. . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/13766
. . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/13769
. . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/1377
. . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/13771
. . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/13772
. . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/13773
. . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/13776
. . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/13778
. . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/13779
. . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/1378
. . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/13781
. . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/13783
. . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/13784
. . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/13786
. . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/13787
. . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/13788) [2013-01]
H01L 2224/13788
. . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/1379
. . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/13791
. . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/13793
. . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/137 - H01L 2224/13791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/13794
. . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/137 - H01L 2224/13791 [2016-05]
H01L 2224/13795
. . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/137 - H01L 2224/13791 [2016-05]
H01L 2224/13798
. . . . . . . .
Fillers [2013-01]
H01L 2224/13799
. . . . . . . . .
Base material [2013-01]
H01L 2224/138
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/13801
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/13805
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/13809
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/13811
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/13813
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/13814
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/13816
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/13817
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/13818
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/1382
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/13823
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/13824
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/13838
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/13839
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/13844
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/13847
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/13849
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/13855
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/13857
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/1386
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/13863
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/13864
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/13866
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/13869
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/1387
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/13871
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/13872
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/13873
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/13876
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/13878
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/13879
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/1388
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/13881
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/13883
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/13884
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/13886
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/13887
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/13888) [2015-11]
H01L 2224/13888
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/1389
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/13891
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/13893
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/138 - H01L 2224/13891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/13894
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/138 - H01L 2224/13891 [2016-05]
H01L 2224/13895
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/138 - H01L 2224/13891 [2016-05]
H01L 2224/13898
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/13899
. . . . . . . . .
Coating material [2013-01]
H01L 2224/139
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/13901
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/13905
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/13909
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/13911
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/13913
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/13914
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/13916
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/13917
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/13918
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/1392
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/13923
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/13924
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/13938
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/13939
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/13944
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/13947
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/13949
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/13955
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/13957
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/1396
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/13963
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/13964
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/13966
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/13969
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/1397
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/13971
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/13972
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/13973
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/13976
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/13978
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/13979
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/1398
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/13981
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/13983
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/13984
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/13986
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/13987
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/13988) [2013-01]
H01L 2224/13988
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/1399
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/13991
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/13993
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/139 - H01L 2224/13991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/13994
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/139 - H01L 2224/13991 [2016-05]
H01L 2224/13995
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/139 - H01L 2224/13991 [2016-05]
H01L 2224/13998
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/13999
. . . . . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/14
. . . .
of a plurality of bump connectors [2013-01]
H01L 2224/1401
. . . . .
Structure [2013-01]
H01L 2224/1403
. . . . . .
Bump connectors having different sizes, e.g. different diameters, heights or widths [2013-01]
H01L 2224/1405
. . . . .
Shape [2013-01]
H01L 2224/14051
. . . . . .
Bump connectors having different shapes [2013-01]
H01L 2224/141
. . . . .
Disposition [2013-01]
H01L 2224/14104
. . . . . .
relative to the bonding areas, e.g. bond pads, of the semiconductor or solid-state body [2013-01]
H01L 2224/1411
. . . . . . .
the bump connectors being bonded to at least one common bonding area [2013-01]
H01L 2224/1412
. . . . . .
Layout [2013-01]
H01L 2224/1413
. . . . . . .
Square or rectangular array [2013-01]
H01L 2224/14131
. . . . . . . .
being uniform, i.e. having a uniform pitch across the array [2013-01]
H01L 2224/14132
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/14133
. . . . . . . .
with a staggered arrangement, e.g. depopulated array [2013-01]
H01L 2224/14134
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/14135
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/14136
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/1414
. . . . . . .
Circular array, i.e. array with radial symmetry [2013-01]
H01L 2224/14141
. . . . . . . .
being uniform, i.e. having a uniform pitch across the array [2013-01]
H01L 2224/14142
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/14143
. . . . . . . .
with a staggered arrangement, e.g. depopulated array [2013-01]
H01L 2224/14144
. . . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/14145
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/14146
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/1415
. . . . . . .
Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry [2013-01]
H01L 2224/14151
. . . . . . . .
being uniform, i.e. having a uniform pitch across the array [2013-01]
H01L 2224/14152
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/14153
. . . . . . . .
with a staggered arrangement, e.g. depopulated array [2013-01]
H01L 2224/14154
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/14155
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/14156
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/1416
. . . . . . .
Random layout, i.e. layout with no symmetry [2013-01]
H01L 2224/14163
. . . . . . . .
with a staggered arrangement [2013-01]
H01L 2224/14164
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/14165
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/14166
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/14177
. . . . . . .
Combinations of arrays with different layouts [2013-01]
H01L 2224/14179
. . . . . . .
Corner adaptations, i.e. disposition of the bump connectors at the corners of the semiconductor or solid-state body [2013-01]
H01L 2224/1418
. . . . . .
being disposed on at least two different sides of the body, e.g. dual array [2013-01]
H01L 2224/14181
. . . . . . .
On opposite sides of the body [2013-01]
H01L 2224/14183
. . . . . . .
On contiguous sides of the body [2013-01]
H01L 2224/145
. . . . .
Material [2013-01]
H01L 2224/14505
. . . . . .
Bump connectors having different materials [2013-01]
H01L 2224/1451
. . . . .
Function [2013-01]
H01L 2224/14515
. . . . . .
Bump connectors having different functions [2013-01]
H01L 2224/14517
. . . . . . .
including bump connectors providing primarily mechanical bonding [2013-01]
H01L 2224/14519
. . . . . . .
including bump connectors providing primarily thermal dissipation [2013-01]
H01L 2224/15
. . .
Structure, shape, material or disposition of the bump connectors after the connecting process [2013-01]
H01L 2224/16
. . . .
of an individual bump connector [2013-01]
H01L 2224/1601
. . . . .
Structure [2013-01]
H01L 2224/16012
. . . . . .
relative to the bonding area, e.g. bond pad [2013-01]
H01L 2224/16013
. . . . . . .
the bump connector being larger than the bonding area, e.g. bond pad [2013-01]
H01L 2224/16014
. . . . . . .
the bump connector being smaller than the bonding area, e.g. bond pad [2013-01]
H01L 2224/1605
. . . . .
Shape [2013-01]
H01L 2224/16052
. . . . . .
in top view [2013-01]
H01L 2224/16054
. . . . . . .
being rectangular or square [2013-01]
H01L 2224/16055
. . . . . . .
being circular or elliptic [2013-01]
H01L 2224/16056
. . . . . . .
comprising protrusions or indentations [2013-01]
H01L 2224/16057
. . . . . .
in side view [2013-01]
H01L 2224/16058
. . . . . . .
being non uniform along the bump connector [2013-01]
H01L 2224/16059
. . . . . . .
comprising protrusions or indentations [2013-01]
H01L 2224/1607
. . . . . .
of bonding interfaces, e.g. interlocking features [2013-01]
H01L 2224/161
. . . . .
Disposition [2013-01]
H01L 2224/16104
. . . . . .
relative to the bonding area, e.g. bond pad [2013-01]
H01L 2224/16105
. . . . . . .
the bump connector connecting bonding areas being not aligned with respect to each other [2013-01]
H01L 2224/16106
. . . . . . .
the bump connector connecting one bonding area to at least two respective bonding areas [2013-01]
H01L 2224/16108
. . . . . .
the bump connector not being orthogonal to the surface [2013-01]
H01L 2224/16111
. . . . . .
the bump connector being disposed in a recess of the surface [2013-01]
H01L 2224/16112
. . . . . .
the bump connector being at least partially embedded in the surface [2013-01]
H01L 2224/16113
. . . . . .
the whole bump connector protruding from the surface [2013-01]
H01L 2224/1613
. . . . . .
the bump connector connecting within a semiconductor or solid-state body, i.e. connecting two bonding areas on the same semiconductor or solid-state body [2013-01]
H01L 2224/16135
. . . . . .
the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip [2016-08]
H01L 2224/16137
. . . . . . .
the bodies being arranged next to each other, e.g. on a common substrate [2013-01]
H01L 2224/16141
. . . . . . .
the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements [2013-01]
H01L 2224/16145
. . . . . . .
the bodies being stacked [2013-01]
H01L 2224/16146
. . . . . . . .
the bump connector connecting to a via connection in the semiconductor or solid-state body [2013-01]
H01L 2224/16147
. . . . . . . .
the bump connector connecting to a bonding area disposed in a recess of the surface [2013-01]
H01L 2224/16148
. . . . . . . .
the bump connector connecting to a bonding area protruding from the surface [2013-01]
H01L 2224/16151
. . . . . .
the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive [2013-01]
H01L 2224/16153
. . . . . . .
the body and the item being arranged next to each other, e.g. on a common substrate [2013-01]
H01L 2224/16155
. . . . . . . .
the item being non-metallic, e.g. being an insulating substrate with or without metallisation [2013-01]
H01L 2224/16157
. . . . . . . . .
the bump connector connecting to a bond pad of the item [2013-01]
H01L 2224/1616
. . . . . . . . .
the bump connector connecting to a pin of the item [2013-01]
H01L 2224/16163
. . . . . . . . .
the bump connector connecting to a potential ring of the item [2013-01]
H01L 2224/16165
. . . . . . . . .
the bump connector connecting to a via metallisation of the item [2013-01]
H01L 2224/16167
. . . . . . . . .
the bump connector connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/16168
. . . . . . . . .
the bump connector connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/16175
. . . . . . . .
the item being metallic [2013-01]
H01L 2224/16183
. . . . . . . . .
the bump connector connecting to a potential ring of the item [2013-01]
H01L 2224/16187
. . . . . . . . .
the bump connector connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/16188
. . . . . . . . .
the bump connector connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/16195
. . . . . . . .
the item being a discrete passive component [2013-01]
H01L 2224/16197
. . . . . . . . .
the bump connector connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/16198
. . . . . . . . .
the bump connector connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/16221
. . . . . . .
the body and the item being stacked [2013-01]
H01L 2224/16225
. . . . . . . .
the item being non-metallic, e.g. insulating substrate with or without metallisation [2013-01]
H01L 2224/16227
. . . . . . . . .
the bump connector connecting to a bond pad of the item [2013-01]
H01L 2224/1623
. . . . . . . . .
the bump connector connecting to a pin of the item [2013-01]
H01L 2224/16233
. . . . . . . . .
the bump connector connecting to a potential ring of the item [2013-01]
H01L 2224/16235
. . . . . . . . .
the bump connector connecting to a via metallisation of the item [2013-01]
H01L 2224/16237
. . . . . . . . .
the bump connector connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/16238
. . . . . . . . .
the bump connector connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/1624
. . . . . . . . .
the bump connector connecting between the body and an opposite side of the item with respect to the body [2013-01]
H01L 2224/16245
. . . . . . . .
the item being metallic [2013-01]
H01L 2224/16253
. . . . . . . . .
the bump connector connecting to a potential ring of the item [2013-01]
H01L 2224/16257
. . . . . . . . .
the bump connector connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/16258
. . . . . . . . .
the bump connector connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/1626
. . . . . . . . .
the bump connector connecting between the body and an opposite side of the item with respect to the body [2013-01]
H01L 2224/16265
. . . . . . . .
the item being a discrete passive component [2013-01]
H01L 2224/16267
. . . . . . . . .
the bump connector connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/16268
. . . . . . . . .
the bump connector connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/165
. . . . .
Material [2013-01]
H01L 2224/16501
. . . . . .
at the bonding interface [2013-01]
H01L 2224/16502
. . . . . . .
comprising an eutectic alloy [2013-01]
H01L 2224/16503
. . . . . . .
comprising an intermetallic compound [2013-01]
H01L 2224/16505
. . . . . .
outside the bonding interface, e.g. in the bulk of the bump connector [2013-01]
H01L 2224/16506
. . . . . . .
comprising an eutectic alloy [2013-01]
H01L 2224/16507
. . . . . . .
comprising an intermetallic compound [2013-01]
H01L 2224/17
. . . .
of a plurality of bump connectors [2013-01]
H01L 2224/1701
. . . . .
Structure [2013-01]
H01L 2224/1703
. . . . . .
Bump connectors having different sizes, e.g. different diameters, heights or widths [2013-01]
H01L 2224/1705
. . . . .
Shape [2013-01]
H01L 2224/17051
. . . . . .
Bump connectors having different shapes [2013-01]
H01L 2224/17055
. . . . . . .
of their bonding interfaces [2013-01]
H01L 2224/171
. . . . .
Disposition [2013-01]
H01L 2224/17104
. . . . . .
relative to the bonding areas, e.g. bond pads [2013-01]
H01L 2224/17106
. . . . . . .
the bump connectors being bonded to at least one common bonding area [2013-01]
H01L 2224/17107
. . . . . . . .
the bump connectors connecting two common bonding areas [2013-01]
H01L 2224/1712
. . . . . .
Layout (layout of bump connectors prior to the connecting process H01L 2224/1412) [2013-01]
H01L 2224/1713
. . . . . . .
Square or rectangular array [2013-01]
H01L 2224/17132
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/17133
. . . . . . . .
with a staggered arrangement, e.g. depopulated array [2013-01]
H01L 2224/17134
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/17135
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/17136
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/1714
. . . . . . .
Circular array, i.e. array with radial symmetry [2013-01]
H01L 2224/17142
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/17143
. . . . . . . .
with a staggered arrangement [2013-01]
H01L 2224/17144
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/17145
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/17146
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/1715
. . . . . . .
Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry [2013-01]
H01L 2224/17151
. . . . . . . .
being uniform, i.e. having a uniform pitch across the array [2013-01]
H01L 2224/17152
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/17153
. . . . . . . .
with a staggered arrangement, e.g. depopulated array [2013-01]
H01L 2224/17154
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/17155
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/17156
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/1716
. . . . . . .
Random layout, i.e. layout with no symmetry [2013-01]
H01L 2224/17163
. . . . . . . .
with a staggered arrangement [2013-01]
H01L 2224/17164
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/17165
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/17166
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/17177
. . . . . . .
Combinations of arrays with different layouts [2013-01]
H01L 2224/17179
. . . . . . .
Corner adaptations, i.e. disposition of the bump connectors at the corners of the semiconductor or solid-state body [2013-01]
H01L 2224/1718
. . . . . .
being disposed on at least two different sides of the body, e.g. dual array [2013-01]
H01L 2224/17181
. . . . . . .
On opposite sides of the body [2013-01]
H01L 2224/17183
. . . . . . .
On contiguous sides of the body [2013-01]
H01L 2224/175
. . . . .
Material [2013-01]
H01L 2224/17505
. . . . . .
Bump connectors having different materials [2013-01]
H01L 2224/1751
. . . . .
Function [2013-01]
H01L 2224/17515
. . . . . .
Bump connectors having different functions [2013-01]
H01L 2224/17517
. . . . . . .
including bump connectors providing primarily mechanical support [2013-01]
H01L 2224/17519
. . . . . . .
including bump connectors providing primarily thermal dissipation [2013-01]
H01L 2224/18
. .
High density interconnect [HDI] connectors; Manufacturing methods related thereto [2013-01]
H01L 2224/19
. . .
Manufacturing methods of high density interconnect preforms [2013-01]
H01L 2224/20
. . .
Structure, shape, material or disposition of high density interconnect preforms [2013-01]
H01L 2224/21
. . . .
of an individual HDI interconnect [2013-01]
H01L 2224/2101
. . . . .
Structure [2013-01]
H01L 2224/2105
. . . . .
Shape [2013-01]
H01L 2224/211
. . . . .
Disposition [2013-01]
H01L 2224/214
. . . . .
Connecting portions [2013-01]
H01L 2224/215
. . . . .
Material [2013-01]
H01L 2224/22
. . . .
of a plurality of HDI interconnects [2013-01]
H01L 2224/2201
. . . . .
Structure [2013-01]
H01L 2224/2205
. . . . .
Shape [2013-01]
H01L 2224/221
. . . . .
Disposition [2013-01]
H01L 2224/224
. . . . .
Connecting portions [2013-01]
H01L 2224/225
. . . . .
Material [2013-01]
H01L 2224/22505
. . . . . .
HDI interconnects having different materials [2013-01]
H01L 2224/23
. . .
Structure, shape, material or disposition of the high density interconnect connectors after the connecting process [2013-01]
H01L 2224/24
. . . .
of an individual high density interconnect connector [2013-01]
H01L 2224/2401
. . . . .
Structure [2013-01]
H01L 2224/24011
. . . . . .
Deposited, e.g. MCM-D type [2013-01]
H01L 2224/2402
. . . . . .
Laminated, e.g. MCM-L type [2013-01]
H01L 2224/2405
. . . . .
Shape [2013-01]
H01L 2224/24051
. . . . . .
Conformal with the semiconductor or solid-state device [2013-01]
H01L 2224/241
. . . . .
Disposition [2013-01]
H01L 2224/24101
. . . . . .
Connecting bonding areas at the same height [2013-01]
H01L 2224/24105
. . . . . .
Connecting bonding areas at different heights [2013-01]
H01L 2224/2413
. . . . . .
Connecting within a semiconductor or solid-state body [2013-01]
H01L 2224/24135
. . . . . .
Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip [2016-08]
H01L 2224/24137
. . . . . . .
the bodies being arranged next to each other, e.g. on a common substrate [2013-01]
H01L 2224/24141
. . . . . . .
the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements [2013-01]
H01L 2224/24145
. . . . . . .
the bodies being stacked [2013-01]
H01L 2224/24146
. . . . . . . .
the HDI interconnect connecting to the same level of the lower semiconductor or solid-state body at which the upper semiconductor or solid-state body is mounted [2013-01]
H01L 2224/24147
. . . . . . . .
the HDI interconnect not connecting to the same level of the lower semiconductor or solid-state body at which the upper semiconductor or solid-state body is mounted, e.g. the upper semiconductor or solid-state body being mounted in a cavity or on a protrusion of the lower semiconductor or solid-state body [2013-01]
H01L 2224/24151
. . . . . .
Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive [2013-01]
H01L 2224/24153
. . . . . . .
the body and the item being arranged next to each other, e.g. on a common substrate [2013-01]
H01L 2224/24155
. . . . . . . .
the item being non-metallic, e.g. insulating substrate with or without metallisation [2013-01]
H01L 2224/24175
. . . . . . . .
the item being metallic [2013-01]
H01L 2224/24195
. . . . . . . .
the item being a discrete passive component [2013-01]
H01L 2224/24221
. . . . . . .
the body and the item being stacked [2013-01]
H01L 2224/24225
. . . . . . . .
the item being non-metallic, e.g. insulating substrate with or without metallisation [2013-01]
H01L 2224/24226
. . . . . . . . .
the HDI interconnect connecting to the same level of the item at which the semiconductor or solid-state body is mounted, e.g. the item being planar [2016-08]
H01L 2224/24227
. . . . . . . . .
the HDI interconnect not connecting to the same level of the item at which the semiconductor or solid-state body is mounted, e.g. the semiconductor or solid-state body being mounted in a cavity or on a protrusion of the item [2013-01]
H01L 2224/24245
. . . . . . . .
the item being metallic [2013-01]
H01L 2224/24246
. . . . . . . . .
the HDI interconnect connecting to the same level of the item at which the semiconductor or solid-state body is mounted, e.g. the item being planar [2016-08]
H01L 2224/24247
. . . . . . . . .
the HDI interconnect not connecting to the same level of the item at which the semiconductor or solid-state body is mounted, e.g. the semiconductor or solid-state body being mounted in a cavity or on a protrusion of the item [2013-01]
H01L 2224/24265
. . . . . . . .
the item being a discrete passive component [2013-01]
H01L 2224/244
. . . . .
Connecting portions [2013-01]
H01L 2224/245
. . . . .
Material [2013-01]
H01L 2224/2499
. . . . .
Auxiliary members for HDI interconnects, e.g. spacers, alignment aids [2013-01]
H01L 2224/24991
. . . . . .
being formed on the semiconductor or solid-state body to be connected [2013-01]
H01L 2224/24992
. . . . . . .
Flow barrier [2013-01]
H01L 2224/24996
. . . . . .
being formed on an item to be connected not being a semiconductor or solid-state body [2013-01]
H01L 2224/24997
. . . . . . .
Flow barrier [2013-01]
H01L 2224/24998
. . . . . . .
Reinforcing structures, e.g. ramp-like support [2013-01]
H01L 2224/25
. . . .
of a plurality of high density interconnect connectors [2013-01]
H01L 2224/2501
. . . . .
Structure [2013-01]
H01L 2224/2505
. . . . .
Shape [2013-01]
H01L 2224/251
. . . . .
Disposition [2013-01]
H01L 2224/25105
. . . . . .
Connecting at different heights [2013-01]
H01L 2224/2511
. . . . . .
the connectors being bonded to at least one common bonding area [2013-01]
H01L 2224/25111
. . . . . . .
the connectors connecting two common bonding areas [2013-01]
H01L 2224/25112
. . . . . . .
the connectors connecting a common bonding area on the semiconductor or solid-state body to different bonding areas outside the body [2013-01]
H01L 2224/25113
. . . . . . .
the connectors connecting different bonding areas on the semiconductor or solid-state body to a common bonding area outside the body [2013-01]
H01L 2224/2512
. . . . . .
Layout [2013-01]
H01L 2224/25171
. . . . . . .
Fan-out arrangements [2013-01]
H01L 2224/25174
. . . . . . .
Stacked arrangements [2013-01]
H01L 2224/25175
. . . . . . .
Parallel arrangements [2013-01]
H01L 2224/25177
. . . . . . .
Combinations of a plurality of arrangements [2013-01]
H01L 2224/2518
. . . . . .
being disposed on at least two different sides of the body, e.g. dual array [2013-01]
H01L 2224/254
. . . . .
Connecting portions [2013-01]
H01L 2224/2541
. . . . . .
the connecting portions being stacked [2013-01]
H01L 2224/2543
. . . . . .
the connecting portions being staggered [2013-01]
H01L 2224/255
. . . . .
Material [2013-01]
H01L 2224/26
. .
Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto [2013-01]
H01L 2224/2612
. . .
Auxiliary members for layer connectors, e.g. spacers [2013-01]
H01L 2224/26122
. . . .
being formed on the semiconductor or solid-state body to be connected [2013-01]
H01L 2224/26125
. . . . .
Reinforcing structures [2013-01]
H01L 2224/26135
. . . . .
Alignment aids [2013-01]
H01L 2224/26145
. . . . .
Flow barriers [2013-01]
H01L 2224/26152
. . . .
being formed on an item to be connected not being a semiconductor or solid-state body [2013-01]
H01L 2224/26155
. . . . .
Reinforcing structures [2013-01]
H01L 2224/26165
. . . . .
Alignment aids [2013-01]
H01L 2224/26175
. . . . .
Flow barriers [2013-01]
H01L 2224/27
. . .
Manufacturing methods [2013-01]
H01L 2224/27001
. . . .
Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate [2013-01]
H01L 2224/27002
. . . . .
for supporting the semiconductor or solid-state body [2013-01]
H01L 2224/27003
. . . . .
for holding or transferring the layer preform [2013-01]
H01L 2224/27005
. . . . .
for aligning the layer connector, e.g. marks, spacers [2013-01]
H01L 2224/27009
. . . . .
for protecting parts during manufacture [2013-01]
H01L 2224/27011
. . . .
Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device, e.g. coating, dummy feature [2013-01]
H01L 2224/27013
. . . . .
for holding or confining the layer connector, e.g. solder flow barrier [2013-01]
H01L 2224/27015
. . . . .
for aligning the layer connector, e.g. marks, spacers [2013-01]
H01L 2224/27019
. . . . .
for protecting parts during the process [2013-01]
H01L 2224/271
. . . .
Manufacture and pre-treatment of the layer connector preform [2013-01]
H01L 2224/2711
. . . . .
Shaping [2013-01]
H01L 2224/2712
. . . . .
Applying permanent coating [2013-01]
H01L 2224/273
. . . .
by local deposition of the material of the layer connector [2013-01]
H01L 2224/2731
. . . . .
in liquid form [2013-01]
H01L 2224/27312
. . . . . .
Continuous flow, e.g. using a microsyringe, a pump, a nozzle or extrusion [2017-08]
H01L 2224/27318
. . . . . .
by dispensing droplets [2013-01]
H01L 2224/2732
. . . . . .
Screen printing, i.e. using a stencil [2013-01]
H01L 2224/2733
. . . . .
in solid form [2013-01]
H01L 2224/27332
. . . . . .
using a powder [2013-01]
H01L 2224/27334
. . . . . .
using preformed layer [2013-01]
H01L 2224/274
. . . .
by blanket deposition of the material of the layer connector [2013-01]
H01L 2224/2741
. . . . .
in liquid form [2013-01]
H01L 2224/27416
. . . . . .
Spin coating [2013-01]
H01L 2224/27418
. . . . . .
Spray coating [2013-01]
H01L 2224/2742
. . . . . .
Curtain coating [2013-01]
H01L 2224/27422
. . . . . .
by dipping, e.g. in a solder bath (hot-dipping C23C 2/00) [2013-01]
H01L 2224/27424
. . . . . .
Immersion coating, e.g. in a solder bath (immersion processes C23C 2/00) [2013-01]
H01L 2224/27426
. . . . . .
Chemical solution deposition [CSD], i.e. using a liquid precursor [2013-01]
H01L 2224/27428
. . . . . .
Wave coating [2013-01]
H01L 2224/2743
. . . . .
in solid form [2013-01]
H01L 2224/27436
. . . . . .
Lamination of a preform, e.g. foil, sheet or layer [2013-01]
H01L 2224/27438
. . . . . . .
the preform being at least partly pre-patterned [2013-01]
H01L 2224/2744
. . . . . . .
by transfer printing [2013-01]
H01L 2224/27442
. . . . . .
using a powder [2013-01]
H01L 2224/27444
. . . . .
in gaseous form [2013-01]
H01L 2224/2745
. . . . . .
Physical vapour deposition [PVD], e.g. evaporation, or sputtering [2013-01]
H01L 2224/27452
. . . . . .
Chemical vapour deposition [CVD], e.g. laser CVD [2013-01]
H01L 2224/2746
. . . . .
Plating [2013-01]
H01L 2224/27462
. . . . . .
Electroplating [2013-01]
H01L 2224/27464
. . . . . .
Electroless plating [2013-01]
H01L 2224/27466
. . . . .
Conformal deposition, i.e. blanket deposition of a conformal layer on a patterned surface [2013-01]
H01L 2224/2747
. . . .
using a lift-off mask [2013-01]
H01L 2224/27472
. . . . .
Profile of the lift-off mask [2013-01]
H01L 2224/27474
. . . . .
Multilayer masks [2013-01]
H01L 2224/2748
. . . . .
Permanent masks, i.e. masks left in the finished device, e.g. passivation layers [2013-01]
H01L 2224/275
. . . .
by chemical or physical modification of a pre-existing or pre-deposited material [2013-01]
H01L 2224/27502
. . . . .
Pre-existing or pre-deposited material [2013-01]
H01L 2224/27505
. . . . .
Sintering [2013-01]
H01L 2224/2751
. . . . .
Anodisation [2013-01]
H01L 2224/27515
. . . . .
Curing and solidification, e.g. of a photosensitive layer material [2013-01]
H01L 2224/2752
. . . . .
Self-assembly, e.g. self-agglomeration of the layer material in a fluid [2013-01]
H01L 2224/27522
. . . . . .
Auxiliary means therefor, e.g. for self-assembly activation [2013-01]
H01L 2224/27524
. . . . . .
with special adaptation of the surface or of an auxiliary substrate, e.g. surface shape specially adapted for the self-assembly process [2013-01]
H01L 2224/27526
. . . . . .
involving the material of the bonding area, e.g. bonding pad [2013-01]
H01L 2224/2755
. . . . .
Selective modification [2013-01]
H01L 2224/27552
. . . . . .
using a laser or a focussed ion beam [FIB] [2013-01]
H01L 2224/27554
. . . . . . .
Stereolithography, i.e. solidification of a pattern defined by a laser trace in a photosensitive resin [2013-01]
H01L 2224/276
. . . .
by patterning a pre-deposited material (treatment of parts prior to assembly of the devices H01L 21/48) [2013-01]
H01L 2224/27602
. . . . .
Mechanical treatment, e.g. polishing, grinding [2013-01]
H01L 2224/2761
. . . . .
Physical or chemical etching [2013-01]
H01L 2224/27612
. . . . . .
by physical means only [2013-01]
H01L 2224/27614
. . . . . .
by chemical means only [2013-01]
H01L 2224/27616
. . . . . .
Chemical mechanical polishing [CMP] [2013-01]
H01L 2224/27618
. . . . .
with selective exposure, development and removal of a photosensitive layer material, e.g. of a photosensitive conductive resin [2013-01]
H01L 2224/2762
. . . . .
using masks [2013-01]
H01L 2224/27622
. . . . . .
Photolithography [2013-01]
H01L 2224/2763
. . . . .
using a laser or a focused ion beam [FIB] [2013-01]
H01L 2224/27632
. . . . . .
Ablation by means of a laser or focused ion beam [FIB] [2013-01]
H01L 2224/277
. . . .
involving monitoring, e.g. feedback loop [2013-01]
H01L 2224/278
. . . .
Post-treatment of the layer connector [2013-01]
H01L 2224/2781
. . . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/2782
. . . . .
Applying permanent coating, e.g. in-situ coating [2013-01]
H01L 2224/27821
. . . . . .
Spray coating [2013-01]
H01L 2224/27822
. . . . . .
by dipping, e.g. in a solder bath [2013-01]
H01L 2224/27823
. . . . . .
Immersion coating, e.g. in a solder bath [2013-01]
H01L 2224/27824
. . . . . .
Chemical solution deposition [CSD], i.e. using a liquid precursor [2013-01]
H01L 2224/27825
. . . . . .
Plating, e.g. electroplating, electroless plating [2013-01]
H01L 2224/27826
. . . . . .
Physical vapour deposition [PVD], e.g. evaporation, or sputtering [2013-01]
H01L 2224/27827
. . . . . .
Chemical vapour deposition [CVD], e.g. laser CVD [2013-01]
H01L 2224/2783
. . . . .
Reworking, e.g. shaping (reflowing H01L 2224/27849) [2013-01]
H01L 2224/27831
. . . . . .
involving a chemical process, e.g. etching the layer connector [2013-01]
H01L 2224/2784
. . . . . .
involving a mechanical process, e.g. planarising the layer connector [2013-01]
H01L 2224/27845
. . . . . .
Chemical mechanical polishing [CMP] [2013-01]
H01L 2224/27848
. . . . .
Thermal treatments, e.g. annealing, controlled cooling [2013-01]
H01L 2224/27849
. . . . . .
Reflowing [2013-01]
H01L 2224/279
. . . .
Methods of manufacturing layer connectors involving a specific sequence of method steps [2013-01]
H01L 2224/27901
. . . . .
with repetition of the same manufacturing step [2013-01]
H01L 2224/27902
. . . . . .
Multiple masking steps [2013-01]
H01L 2224/27903
. . . . . . .
using different masks [2013-01]
H01L 2224/27906
. . . . . . .
with modification of the same mask [2013-01]
H01L 2224/2791
. . . . .
Forming a passivation layer after forming the layer connector [2013-01]
H01L 2224/27912
. . . . .
the layer being used as a mask for patterning other parts [2013-01]
H01L 2224/27916
. . . . .
a passivation layer being used as a mask for patterning other parts [2013-01]
H01L 2224/28
. . .
Structure, shape, material or disposition of the layer connectors prior to the connecting process [2013-01]
H01L 2224/28105
. . . .
Layer connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. layer connectors on chip-scale packages [2013-01]
H01L 2224/29
. . . .
of an individual layer connector [2013-01]
H01L 2224/29001
. . . . .
Core members of the layer connector [2013-01]
H01L 2224/29005
. . . . . .
Structure [2013-01]
H01L 2224/29006
. . . . . . .
Layer connector larger than the underlying bonding area [2013-01]
H01L 2224/29007
. . . . . . .
Layer connector smaller than the underlying bonding area [2013-01]
H01L 2224/29008
. . . . . . .
Layer connector integrally formed with a redistribution layer on the semiconductor or solid-state body [2013-01]
H01L 2224/29009
. . . . . . .
Layer connector integrally formed with a via connection of the semiconductor or solid-state body [2013-01]
H01L 2224/2901
. . . . . .
Shape [2013-01]
H01L 2224/29011
. . . . . . .
comprising apertures or cavities [2013-01]
H01L 2224/29012
. . . . . . .
in top view [2013-01]
H01L 2224/29013
. . . . . . . .
being rectangular or square [2013-01]
H01L 2224/29014
. . . . . . . .
being circular or elliptic [2013-01]
H01L 2224/29015
. . . . . . . .
comprising protrusions or indentations [2013-01]
H01L 2224/29016
. . . . . . .
in side view [2013-01]
H01L 2224/29017
. . . . . . . .
being non uniform along the layer connector [2013-01]
H01L 2224/29018
. . . . . . . .
comprising protrusions or indentations [2013-01]
H01L 2224/29019
. . . . . . . . .
at the bonding interface of the layer connector, i.e. on the surface of the layer connector [2013-01]
H01L 2224/2902
. . . . . .
Disposition [2013-01]
H01L 2224/29021
. . . . . . .
the layer connector being disposed in a recess of the surface (embedded layer connector H01L 2224/29022) [2013-01]
H01L 2224/29022
. . . . . . .
the layer connector being at least partially embedded in the surface [2013-01]
H01L 2224/29023
. . . . . . .
the whole layer connector protruding from the surface [2013-01]
H01L 2224/29024
. . . . . . .
the layer connector being disposed on a redistribution layer on the semiconductor or solid-state body [2013-01]
H01L 2224/29025
. . . . . . .
the layer connector being disposed on a via connection of the semiconductor or solid-state body [2013-01]
H01L 2224/29026
. . . . . . .
relative to the bonding area, e.g. bond pad, of the semiconductor or solid-state body [2013-01]
H01L 2224/29027
. . . . . . . .
the layer connector being offset with respect to the bonding area, e.g. bond pad [2013-01]
H01L 2224/29028
. . . . . . . .
the layer connector being disposed on at least two separate bonding areas, e.g. bond pads [2013-01]
H01L 2224/29034
. . . . . . .
the layer connector covering only portions of the surface to be connected [2013-01]
H01L 2224/29035
. . . . . . . .
covering only the peripheral area of the surface to be connected [2013-01]
H01L 2224/29036
. . . . . . . .
covering only the central area of the surface to be connected [2013-01]
H01L 2224/29075
. . . . . .
Plural core members [2013-01]
H01L 2224/29076
. . . . . . .
being mutually engaged together, e.g. through inserts [2013-01]
H01L 2224/29078
. . . . . . .
being disposed next to each other, e.g. side-to-side arrangements [2013-01]
H01L 2224/2908
. . . . . . .
being stacked [2013-01]
H01L 2224/29082
. . . . . . . .
Two-layer arrangements [2013-01]
H01L 2224/29083
. . . . . . . .
Three-layer arrangements [2013-01]
H01L 2224/29084
. . . . . . . .
Four-layer arrangements [2013-01]
H01L 2224/29099
. . . . . .
Material [2013-01]
H01L 2224/291
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/29101
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/29105
. . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/29109
. . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/29111
. . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/29113
. . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/29114
. . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/29116
. . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/29117
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/29118
. . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/2912
. . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/29123
. . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/29124
. . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/29138
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/29139
. . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/29144
. . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/29147
. . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/29149
. . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/29155
. . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/29157
. . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/2916
. . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/29163
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/29164
. . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/29166
. . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/29169
. . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/2917
. . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/29171
. . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/29172
. . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/29173
. . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/29176
. . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/29178
. . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/29179
. . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/2918
. . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/29181
. . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/29183
. . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/29184
. . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/29186
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/29187
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29188) [2013-01]
H01L 2224/29188
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/2919
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/29191
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/29193
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/291 - H01L 2224/29191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/29194
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/291 - H01L 2224/29191 [2016-05]
H01L 2224/29195
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/291 - H01L 2224/29191 [2016-05]
H01L 2224/29198
. . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/29199
. . . . . . . .
Material of the matrix [2013-01]
H01L 2224/292
. . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/29201
. . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/29205
. . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/29209
. . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/29211
. . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/29213
. . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/29214
. . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/29216
. . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/29217
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/29218
. . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/2922
. . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/29223
. . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/29224
. . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/29238
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/29239
. . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/29244
. . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/29247
. . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/29249
. . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/29255
. . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/29257
. . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/2926
. . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/29263
. . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/29264
. . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/29266
. . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/29269
. . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/2927
. . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/29271
. . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/29272
. . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/29273
. . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/29276
. . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/29278
. . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/29279
. . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/2928
. . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/29281
. . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/29283
. . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/29284
. . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/29286
. . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/29287
. . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29288) [2013-01]
H01L 2224/29288
. . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/2929
. . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/29291
. . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/29293
. . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/292 - H01L 2224/29291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/29294
. . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/292 - H01L 2224/29291 [2016-05]
H01L 2224/29295
. . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/292 - H01L 2224/29291 [2016-05]
H01L 2224/29298
. . . . . . . .
Fillers [2013-01]
H01L 2224/29299
. . . . . . . . .
Base material [2013-01]
H01L 2224/293
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/29301
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/29305
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/29309
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/29311
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/29313
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/29314
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/29316
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/29317
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/29318
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/2932
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/29323
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/29324
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/29338
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/29339
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/29344
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/29347
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/29349
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/29355
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/29357
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/2936
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/29363
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/29364
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/29366
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/29369
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/2937
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/29371
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/29372
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/29373
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/29376
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/29378
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/29379
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/2938
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/29381
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/29383
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/29384
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/29386
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/29387
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29388) [2013-01]
H01L 2224/29388
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/2939
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/29391
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/29393
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/293 - H01L 2224/29391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/29394
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/293 - H01L 2224/29391 [2016-05]
H01L 2224/29395
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/293 - H01L 2224/29391 [2016-05]
H01L 2224/29398
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/29399
. . . . . . . . .
Coating material [2013-01]
H01L 2224/294
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/29401
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/29405
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/29409
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/29411
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/29413
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/29414
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/29416
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/29417
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/29418
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/2942
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/29423
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/29424
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/29438
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/29439
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/29444
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/29447
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/29449
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/29455
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/29457
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/2946
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/29463
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/29464
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/29466
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/29469
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/2947
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/29471
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/29472
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/29473
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/29476
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/29478
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/29479
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/2948
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/29481
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/29483
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/29484
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/29486
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/29487
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29488) [2013-01]
H01L 2224/29488
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/2949
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/29491
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/29493
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/294 - H01L 2224/29491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/29494
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/294 - H01L 2224/29491 [2016-05]
H01L 2224/29495
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/294 - H01L 2224/29491 [2016-05]
H01L 2224/29498
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/29499
. . . . . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/2954
. . . . .
Coating [2013-01]
H01L 2224/29541
. . . . . .
Structure [2013-01]
H01L 2224/2955
. . . . . .
Shape [2013-01]
H01L 2224/29551
. . . . . . .
being non uniform [2013-01]
H01L 2224/29552
. . . . . . . .
comprising protrusions or indentations [2013-01]
H01L 2224/29553
. . . . . . . . .
at the bonding interface of the layer connector, i.e. on the surface of the layer connector [2013-01]
H01L 2224/2956
. . . . . .
Disposition [2013-01]
H01L 2224/29561
. . . . . . .
On the entire surface of the core, i.e. integral coating [2013-01]
H01L 2224/29562
. . . . . . .
On the entire exposed surface of the core [2013-01]
H01L 2224/29563
. . . . . . .
Only on parts of the surface of the core, i.e. partial coating [2013-01]
H01L 2224/29564
. . . . . . . .
Only on the bonding interface of the layer connector [2013-01]
H01L 2224/29565
. . . . . . . .
Only outside the bonding interface of the layer connector [2013-01]
H01L 2224/29566
. . . . . . . .
Both on and outside the bonding interface of the layer connector [2013-01]
H01L 2224/2957
. . . . . .
Single coating layer [2013-01]
H01L 2224/29575
. . . . . .
Plural coating layers [2013-01]
H01L 2224/29576
. . . . . . .
being mutually engaged together, e.g. through inserts [2013-01]
H01L 2224/29578
. . . . . . .
being disposed next to each other, e.g. side-to-side arrangements [2013-01]
H01L 2224/2958
. . . . . . .
being stacked [2013-01]
H01L 2224/29582
. . . . . . . .
Two-layer coating [2013-01]
H01L 2224/29583
. . . . . . . .
Three-layer coating [2013-01]
H01L 2224/29584
. . . . . . . .
Four-layer coating [2013-01]
H01L 2224/29599
. . . . . .
Material [2013-01]
H01L 2224/296
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/29601
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/29605
. . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/29609
. . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/29611
. . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/29613
. . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/29614
. . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/29616
. . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/29617
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/29618
. . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/2962
. . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/29623
. . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/29624
. . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/29638
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/29639
. . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/29644
. . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/29647
. . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/29649
. . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/29655
. . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/29657
. . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/2966
. . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/29663
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/29664
. . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/29666
. . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/29669
. . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/2967
. . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/29671
. . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/29672
. . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/29673
. . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/29676
. . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/29678
. . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/29679
. . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/2968
. . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/29681
. . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/29683
. . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/29684
. . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/29686
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/29687
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29688) [2013-01]
H01L 2224/29688
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/2969
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/29691
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/29693
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/296 - H01L 2224/29691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/29694
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/296 - H01L 2224/29691 [2016-05]
H01L 2224/29695
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/296 - H01L 2224/29691 [2016-05]
H01L 2224/29698
. . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/29699
. . . . . . . .
Material of the matrix [2013-01]
H01L 2224/297
. . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/29701
. . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/29705
. . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/29709
. . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/29711
. . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/29713
. . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/29714
. . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/29716
. . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/29717
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/29718
. . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/2972
. . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/29723
. . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/29724
. . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/29738
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/29739
. . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/29744
. . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/29747
. . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/29749
. . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/29755
. . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/29757
. . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/2976
. . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/29763
. . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/29764
. . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/29766
. . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/29769
. . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/2977
. . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/29771
. . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/29772
. . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/29773
. . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/29776
. . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/29778
. . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/29779
. . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/2978
. . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/29781
. . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/29783
. . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/29784
. . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/29786
. . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/29787
. . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29788) [2013-01]
H01L 2224/29788
. . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/2979
. . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/29791
. . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/29793
. . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/297 - H01L 2224/29791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/29794
. . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/297 - H01L 2224/29791 [2016-05]
H01L 2224/29795
. . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/297 - H01L 2224/29791 [2016-05]
H01L 2224/29798
. . . . . . . .
Fillers [2013-01]
H01L 2224/29799
. . . . . . . . .
Base material [2013-01]
H01L 2224/298
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/29801
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/29805
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/29809
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/29811
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/29813
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/29814
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/29816
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/29817
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/29818
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/2982
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/29823
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/29824
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/29838
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/29839
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/29844
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/29847
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/29849
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/29855
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/29857
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/2986
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/29863
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/29864
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/29866
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/29869
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/2987
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/29871
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/29872
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/29873
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/29876
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/29878
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/29879
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/2988
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/29881
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/29883
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/29884
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/29886
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/29887
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29888) [2013-01]
H01L 2224/29888
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/2989
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/29891
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/29893
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/298 - H01L 2224/29891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/29894
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/298 - H01L 2224/29891 [2016-05]
H01L 2224/29895
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/298 - H01L 2224/29891 [2016-05]
H01L 2224/29898
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/29899
. . . . . . . . .
Coating material [2013-01]
H01L 2224/299
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/29901
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/29905
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/29909
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/29911
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/29913
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/29914
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/29916
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/29917
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/29918
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/2992
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/29923
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/29924
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/29938
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/29939
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/29944
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/29947
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/29949
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/29955
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/29957
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/2996
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/29963
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/29964
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/29966
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/29969
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/2997
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/29971
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/29972
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/29973
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/29976
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/29978
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/29979
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/2998
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/29981
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/29983
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/29984
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/29986
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/29987
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29988) [2015-11]
H01L 2224/29988
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/2999
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/29991
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/29993
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/299 - H01L 2224/29991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/29994
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/299 - H01L 2224/29991 [2016-05]
H01L 2224/29995
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/299 - H01L 2224/29991 [2016-05]
H01L 2224/29998
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/29999
. . . . . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/30
. . . .
of a plurality of layer connectors [2013-01]
H01L 2224/3001
. . . . .
Structure [2013-01]
H01L 2224/3003
. . . . . .
Layer connectors having different sizes, e.g. different heights or widths [2013-01]
H01L 2224/3005
. . . . .
Shape [2013-01]
H01L 2224/30051
. . . . . .
Layer connectors having different shapes [2013-01]
H01L 2224/301
. . . . .
Disposition [2013-01]
H01L 2224/30104
. . . . . .
relative to the bonding areas, e.g. bond pads, of the semiconductor or solid-state body [2013-01]
H01L 2224/3011
. . . . . . .
the layer connectors being bonded to at least one common bonding area [2013-01]
H01L 2224/3012
. . . . . .
Layout [2013-01]
H01L 2224/3013
. . . . . . .
Square or rectangular array [2013-01]
H01L 2224/30131
. . . . . . . .
being uniform, i.e. having a uniform pitch across the array [2013-01]
H01L 2224/30132
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/30133
. . . . . . . .
with a staggered arrangement, e.g. depopulated array [2013-01]
H01L 2224/30134
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/30135
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/30136
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/3014
. . . . . . .
Circular array, i.e. array with radial symmetry [2013-01]
H01L 2224/30141
. . . . . . . .
being uniform, i.e. having a uniform pitch across the array [2013-01]
H01L 2224/30142
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/30143
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/30145
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/30146
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/3015
. . . . . . .
Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry [2013-01]
H01L 2224/30151
. . . . . . . .
being uniform, i.e. having a uniform pitch across the array [2013-01]
H01L 2224/30152
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/30153
. . . . . . . .
with a staggered arrangement, e.g. depopulated array [2013-01]
H01L 2224/30154
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/30155
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/30156
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/3016
. . . . . . .
Random layout, i.e. layout with no symmetry [2013-01]
H01L 2224/30163
. . . . . . . .
with a staggered arrangement [2013-01]
H01L 2224/30164
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/30165
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/30166
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/30177
. . . . . . .
Combinations of arrays with different layouts [2013-01]
H01L 2224/30179
. . . . . . .
Corner adaptations, i.e. disposition of the layer connectors at the corners of the semiconductor or solid-state body [2013-01]
H01L 2224/3018
. . . . . .
being disposed on at least two different sides of the body, e.g. dual array [2013-01]
H01L 2224/30181
. . . . . . .
On opposite sides of the body [2013-01]
H01L 2224/30183
. . . . . . .
On contiguous sides of the body [2013-01]
H01L 2224/305
. . . . .
Material [2013-01]
H01L 2224/30505
. . . . . .
Layer connectors having different materials [2013-01]
H01L 2224/3051
. . . . .
Function [2013-01]
H01L 2224/30515
. . . . . .
Layer connectors having different functions [2013-01]
H01L 2224/30517
. . . . . . .
including layer connectors providing primarily mechanical bonding [2013-01]
H01L 2224/30519
. . . . . . .
including layer connectors providing primarily thermal dissipation [2013-01]
H01L 2224/31
. . .
Structure, shape, material or disposition of the layer connectors after the connecting process [2013-01]
H01L 2224/32
. . . .
of an individual layer connector [2013-01]
H01L 2224/3201
. . . . .
Structure [2013-01]
H01L 2224/32012
. . . . . .
relative to the bonding area, e.g. bond pad [2013-01]
H01L 2224/32013
. . . . . . .
the layer connector being larger than the bonding area, e.g. bond pad [2013-01]
H01L 2224/32014
. . . . . . .
the layer connector being smaller than the bonding area, e.g. bond pad [2013-01]
H01L 2224/3205
. . . . .
Shape [2013-01]
H01L 2224/32052
. . . . . .
in top view [2013-01]
H01L 2224/32053
. . . . . . .
being non uniform along the layer connector [2013-01]
H01L 2224/32054
. . . . . . .
being rectangular or square [2013-01]
H01L 2224/32055
. . . . . . .
being circular or elliptic [2013-01]
H01L 2224/32056
. . . . . . .
comprising protrusions or indentations [2013-01]
H01L 2224/32057
. . . . . .
in side view [2013-01]
H01L 2224/32058
. . . . . . .
being non uniform along the layer connector [2013-01]
H01L 2224/32059
. . . . . . .
comprising protrusions or indentations [2013-01]
H01L 2224/3207
. . . . . .
of bonding interfaces, e.g. interlocking features [2013-01]
H01L 2224/321
. . . . .
Disposition [2013-01]
H01L 2224/32104
. . . . . .
relative to the bonding area, e.g. bond pad [2013-01]
H01L 2224/32105
. . . . . . .
the layer connector connecting bonding areas being not aligned with respect to each other [2013-01]
H01L 2224/32106
. . . . . . .
the layer connector connecting one bonding area to at least two respective bonding areas [2013-01]
H01L 2224/32111
. . . . . .
the layer connector being disposed in a recess of the surface [2013-01]
H01L 2224/32112
. . . . . .
the layer connector being at least partially embedded in the surface [2013-01]
H01L 2224/32113
. . . . . .
the whole layer connector protruding from the surface [2013-01]
H01L 2224/3213
. . . . . .
the layer connector connecting within a semiconductor or solid-state body, i.e. connecting two bonding areas on the same semiconductor or solid-state body [2013-01]
H01L 2224/32135
. . . . . .
the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip [2016-08]
H01L 2224/32137
. . . . . . .
the bodies being arranged next to each other, e.g. on a common substrate [2013-01]
H01L 2224/32141
. . . . . . .
the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements [2013-01]
H01L 2224/32145
. . . . . . .
the bodies being stacked [2013-01]
H01L 2224/32146
. . . . . . . .
the layer connector connecting to a via connection in the semiconductor or solid-state body [2013-01]
H01L 2224/32147
. . . . . . . .
the layer connector connecting to a bonding area disposed in a recess of the surface [2013-01]
H01L 2224/32148
. . . . . . . .
the layer connector connecting to a bonding area protruding from the surface [2013-01]
H01L 2224/32151
. . . . . .
the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive [2013-01]
H01L 2224/32153
. . . . . . .
the body and the item being arranged next to each other, e.g. on a common substrate [2013-01]
H01L 2224/32155
. . . . . . . .
the item being non-metallic, e.g. being an insulating substrate with or without metallisation [2013-01]
H01L 2224/32157
. . . . . . . . .
the layer connector connecting to a bond pad of the item [2013-01]
H01L 2224/3216
. . . . . . . . .
the layer connector connecting to a pin of the item [2013-01]
H01L 2224/32163
. . . . . . . . .
the layer connector connecting to a potential ring of the item [2013-01]
H01L 2224/32165
. . . . . . . . .
the layer connector connecting to a via metallisation of the item [2013-01]
H01L 2224/32167
. . . . . . . . .
the layer connector connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/32168
. . . . . . . . .
the layer connector connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/32175
. . . . . . . .
the item being metallic [2013-01]
H01L 2224/32183
. . . . . . . . .
the layer connector connecting to a potential ring of the item [2013-01]
H01L 2224/32187
. . . . . . . . .
the layer connector connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/32188
. . . . . . . . .
the layer connector connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/32195
. . . . . . . .
the item being a discrete passive component [2013-01]
H01L 2224/32197
. . . . . . . . .
the layer connector connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/32198
. . . . . . . . .
the layer connector connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/32221
. . . . . . .
the body and the item being stacked [2013-01]
H01L 2224/32225
. . . . . . . .
the item being non-metallic, e.g. insulating substrate with or without metallisation [2013-01]
H01L 2224/32227
. . . . . . . . .
the layer connector connecting to a bond pad of the item [2013-01]
H01L 2224/3223
. . . . . . . . .
the layer connector connecting to a pin of the item [2013-01]
H01L 2224/32233
. . . . . . . . .
the layer connector connecting to a potential ring of the item [2013-01]
H01L 2224/32235
. . . . . . . . .
the layer connector connecting to a via metallisation of the item [2013-01]
H01L 2224/32237
. . . . . . . . .
the layer connector connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/32238
. . . . . . . . .
the layer connector connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/3224
. . . . . . . . .
the layer connector connecting between the body and an opposite side of the item with respect to the body [2013-01]
H01L 2224/32245
. . . . . . . .
the item being metallic [2013-01]
H01L 2224/32253
. . . . . . . . .
the layer connector connecting to a potential ring of the item [2013-01]
H01L 2224/32257
. . . . . . . . .
the layer connector connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/32258
. . . . . . . . .
the layer connector connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/3226
. . . . . . . . .
the layer connector connecting between the body and an opposite side of the item with respect to the body [2013-01]
H01L 2224/32265
. . . . . . . .
the item being a discrete passive component [2013-01]
H01L 2224/32267
. . . . . . . . .
the layer connector connecting to a bonding area disposed in a recess of the surface of the item [2013-01]
H01L 2224/32268
. . . . . . . . .
the layer connector connecting to a bonding area protruding from the surface of the item [2013-01]
H01L 2224/325
. . . . .
Material [2013-01]
H01L 2224/32501
. . . . . .
at the bonding interface [2013-01]
H01L 2224/32502
. . . . . . .
comprising an eutectic alloy [2013-01]
H01L 2224/32503
. . . . . . .
comprising an intermetallic compound [2013-01]
H01L 2224/32505
. . . . . .
outside the bonding interface, e.g. in the bulk of the layer connector [2013-01]
H01L 2224/32506
. . . . . . .
comprising an eutectic alloy [2013-01]
H01L 2224/32507
. . . . . . .
comprising an intermetallic compound [2013-01]
H01L 2224/33
. . . .
of a plurality of layer connectors [2013-01]
H01L 2224/3301
. . . . .
Structure [2013-01]
H01L 2224/3303
. . . . . .
Layer connectors having different sizes, e.g. different heights or widths [2013-01]
H01L 2224/3305
. . . . .
Shape [2013-01]
H01L 2224/33051
. . . . . .
Layer connectors having different shapes [2013-01]
H01L 2224/33055
. . . . . . .
of their bonding interfaces [2013-01]
H01L 2224/331
. . . . .
Disposition [2013-01]
H01L 2224/33104
. . . . . .
relative to the bonding areas, e.g. bond pads [2013-01]
H01L 2224/33106
. . . . . . .
the layer connectors being bonded to at least one common bonding area [2013-01]
H01L 2224/33107
. . . . . . . .
the layer connectors connecting two common bonding areas [2013-01]
H01L 2224/3312
. . . . . .
Layout (layout of layer connectors prior to the connecting process H01L 2224/3012) [2013-01]
H01L 2224/3313
. . . . . . .
Square or rectangular array [2013-01]
H01L 2224/33132
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/33133
. . . . . . . .
with a staggered arrangement, e.g. depopulated array [2013-01]
H01L 2224/33134
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/33135
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/3314
. . . . . . .
Circular array, i.e. array with radial symmetry [2013-01]
H01L 2224/33142
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/33143
. . . . . . . .
with a staggered arrangement [2013-01]
H01L 2224/33144
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/33145
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/3315
. . . . . . .
Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry [2013-01]
H01L 2224/33151
. . . . . . . .
being uniform, i.e. having a uniform pitch across the array [2013-01]
H01L 2224/33152
. . . . . . . .
being non uniform, i.e. having a non uniform pitch across the array [2013-01]
H01L 2224/33153
. . . . . . . .
with a staggered arrangement, e.g. depopulated array [2013-01]
H01L 2224/33154
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/33155
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/33156
. . . . . . . . .
Covering only the central area of the surface to be connected, i.e. central arrangements [2013-01]
H01L 2224/3316
. . . . . . .
Random layout, i.e. layout with no symmetry [2013-01]
H01L 2224/33163
. . . . . . . .
with a staggered arrangement [2013-01]
H01L 2224/33164
. . . . . . . .
covering only portions of the surface to be connected [2013-01]
H01L 2224/33165
. . . . . . . . .
Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements [2013-01]
H01L 2224/33177
. . . . . . .
Combinations of arrays with different layouts [2013-01]
H01L 2224/33179
. . . . . . .
Corner adaptations, i.e. disposition of the layer connectors at the corners of the semiconductor or solid-state body [2013-01]
H01L 2224/3318
. . . . . .
being disposed on at least two different sides of the body, e.g. dual array [2013-01]
H01L 2224/33181
. . . . . . .
On opposite sides of the body [2013-01]
H01L 2224/33183
. . . . . . .
On contiguous sides of the body [2013-01]
H01L 2224/335
. . . . .
Material [2013-01]
H01L 2224/33505
. . . . . .
Layer connectors having different materials [2013-01]
H01L 2224/3351
. . . . .
Function [2013-01]
H01L 2224/33515
. . . . . .
Layer connectors having different functions [2013-01]
H01L 2224/33517
. . . . . . .
including layer connectors providing primarily mechanical support [2013-01]
H01L 2224/33519
. . . . . . .
including layer connectors providing primarily thermal dissipation [2013-01]
H01L 2224/34
. .
Strap connectors, e.g. copper straps for grounding power devices; Manufacturing methods related thereto [2013-01]
H01L 2224/35
. . .
Manufacturing methods [2013-01]
H01L 2224/35001
. . . .
Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate [2013-01]
H01L 2224/351
. . . .
Pre-treatment of the preform connector [2013-01]
H01L 2224/3512
. . . . .
Applying permanent coating, e.g. in-situ coating [2013-01]
H01L 2224/35125
. . . . . .
Plating, e.g. electroplating, electroless plating [2013-01]
H01L 2224/352
. . . .
Mechanical processes [2013-01]
H01L 2224/3521
. . . . .
Pulling [2013-01]
H01L 2224/355
. . . .
Modification of a pre-existing material [2013-01]
H01L 2224/3551
. . . . .
Sintering [2013-01]
H01L 2224/3552
. . . . .
Anodisation [2013-01]
H01L 2224/357
. . . .
Involving monitoring, e.g. feedback loop [2013-01]
H01L 2224/358
. . . .
Post-treatment of the connector [2013-01]
H01L 2224/3581
. . . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/3582
. . . . .
Applying permanent coating, e.g. in-situ coating [2013-01]
H01L 2224/35821
. . . . . .
Spray coating [2013-01]
H01L 2224/35822
. . . . . .
Dip coating [2013-01]
H01L 2224/35823
. . . . . .
Immersion coating, e.g. solder bath [2013-01]
H01L 2224/35824
. . . . . .
Chemical solution deposition [CSD], i.e. using a liquid precursor [2013-01]
H01L 2224/35825
. . . . . .
Plating, e.g. electroplating, electroless plating [2013-01]
H01L 2224/35826
. . . . . .
Physical vapour deposition [PVD], e.g. evaporation, sputtering [2013-01]
H01L 2224/35827
. . . . . .
Chemical vapour deposition [CVD], e.g. laser CVD [2013-01]
H01L 2224/3583
. . . . .
Reworking [2013-01]
H01L 2224/35831
. . . . . .
with a chemical process, e.g. with etching of the connector [2013-01]
H01L 2224/35847
. . . . . .
with a mechanical process, e.g. with flattening of the connector [2013-01]
H01L 2224/35848
. . . . .
Thermal treatments, e.g. annealing, controlled cooling [2013-01]
H01L 2224/35985
. . . .
Methods of manufacturing strap connectors involving a specific sequence of method steps [2013-01]
H01L 2224/35986
. . . . .
with repetition of the same manufacturing step [2013-01]
H01L 2224/36
. . .
Structure, shape, material or disposition of the strap connectors prior to the connecting process [2013-01]
H01L 2224/37
. . . .
of an individual strap connector [2013-01]
H01L 2224/37001
. . . . .
Core members of the connector [2013-01]
H01L 2224/37005
. . . . . .
Structure [2013-01]
H01L 2224/3701
. . . . . .
Shape [2013-01]
H01L 2224/37011
. . . . . . .
comprising apertures or cavities [2013-01]
H01L 2224/37012
. . . . . . .
Cross-sectional shape [2013-01]
H01L 2224/37013
. . . . . . . .
being non uniform along the connector [2013-01]
H01L 2224/3702
. . . . . .
Disposition [2013-01]
H01L 2224/37025
. . . . . .
Plural core members [2013-01]
H01L 2224/37026
. . . . . . .
being mutually engaged together, e.g. through inserts [2013-01]
H01L 2224/37028
. . . . . . .
Side-to-side arrangements [2013-01]
H01L 2224/3703
. . . . . . .
Stacked arrangements [2013-01]
H01L 2224/37032
. . . . . . . .
Two-layer arrangements [2013-01]
H01L 2224/37033
. . . . . . . .
Three-layer arrangements [2013-01]
H01L 2224/37034
. . . . . . . .
Four-layer arrangements [2013-01]
H01L 2224/37099
. . . . . .
Material [2013-01]
H01L 2224/371
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/37101
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/37105
. . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/37109
. . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/37111
. . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/37113
. . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/37114
. . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/37116
. . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/37117
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/37118
. . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/3712
. . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/37123
. . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/37124
. . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/37138
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/37139
. . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/37144
. . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/37147
. . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/37149
. . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/37155
. . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/37157
. . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/3716
. . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/37163
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/37164
. . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/37166
. . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/37169
. . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/3717
. . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/37171
. . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/37172
. . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/37173
. . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/37176
. . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/37178
. . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/37179
. . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/3718
. . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/37181
. . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/37183
. . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/37184
. . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/37186
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/37187
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/37188) [2013-01]
H01L 2224/37188
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/3719
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/37191
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/37193
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/371 - H01L 2224/37191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/37194
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/371 - H01L 2224/37191 [2016-05]
H01L 2224/37195
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/371 - H01L 2224/37191 [2016-05]
H01L 2224/37198
. . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/37199
. . . . . . . .
Material of the matrix [2013-01]
H01L 2224/372
. . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/37201
. . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/37205
. . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/37209
. . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/37211
. . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/37213
. . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/37214
. . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/37216
. . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/37217
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/37218
. . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/3722
. . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/37223
. . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/37224
. . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/37238
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/37239
. . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/37244
. . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/37247
. . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/37249
. . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/37255
. . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/37257
. . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/3726
. . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/37263
. . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/37264
. . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/37266
. . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/37269
. . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/3727
. . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/37271
. . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/37272
. . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/37273
. . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/37276
. . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/37278
. . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/37279
. . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/3728
. . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/37281
. . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/37283
. . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/37284
. . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/37286
. . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/37287
. . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/37288) [2015-11]
H01L 2224/37288
. . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/3729
. . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/37291
. . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/37293
. . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/372 - H01L 2224/37291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/37294
. . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/372 - H01L 2224/37291 [2016-05]
H01L 2224/37295
. . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/372 - H01L 2224/37291 [2016-05]
H01L 2224/37298
. . . . . . . .
Fillers [2013-01]
H01L 2224/37299
. . . . . . . . .
Base material [2013-01]
H01L 2224/373
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/37301
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/37305
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/37309
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/37311
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/37313
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/37314
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/37316
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/37317
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/37318
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/3732
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/37323
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/37324
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/37338
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/37339
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/37344
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/37347
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/37349
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/37355
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/37357
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/3736
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/37363
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/37364
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/37366
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/37369
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/3737
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/37371
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/37372
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/37373
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/37376
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/37378
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/37379
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/3738
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/37381
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/37383
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/37384
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/37386
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/37387
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/37388) [2013-01]
H01L 2224/37388
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/3739
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/37391
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/37393
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/373 - H01L 2224/37391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/37394
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/373 - H01L 2224/37391 [2016-05]
H01L 2224/37395
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/373 - H01L 2224/37391 [2016-05]
H01L 2224/37398
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/37399
. . . . . . . . .
Coating material [2013-01]
H01L 2224/374
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/37401
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/37405
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/37409
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/37411
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/37413
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/37414
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/37416
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/37417
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/37418
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/3742
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/37423
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/37424
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/37438
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/37439
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/37444
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/37447
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/37449
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/37455
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/37457
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/3746
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/37463
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/37464
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/37466
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/37469
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/3747
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/37471
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/37472
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/37473
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/37476
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/37478
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/37479
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/3748
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/37481
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/37483
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/37484
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/37486
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/37487
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/37488) [2015-11]
H01L 2224/37488
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/3749
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/37491
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/37493
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/374 - H01L 2224/37491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/37494
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/374 - H01L 2224/37491 [2016-05]
H01L 2224/37495
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/374 - H01L 2224/37491 [2016-05]
H01L 2224/37498
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/37499
. . . . . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/3754
. . . . .
Coating [2013-01]
H01L 2224/37541
. . . . . .
Structure [2013-01]
H01L 2224/3755
. . . . . .
Shape [2013-01]
H01L 2224/3756
. . . . . .
Disposition, e.g. coating on a part of the core [2013-01]
H01L 2224/37565
. . . . . .
Single coating layer [2013-01]
H01L 2224/3757
. . . . . .
Plural coating layers [2013-01]
H01L 2224/37572
. . . . . . .
Two-layer stack coating [2013-01]
H01L 2224/37573
. . . . . . .
Three-layer stack coating [2013-01]
H01L 2224/37574
. . . . . . .
Four-layer stack coating [2013-01]
H01L 2224/37576
. . . . . . .
being mutually engaged together, e.g. through inserts [2013-01]
H01L 2224/37578
. . . . . . .
being disposed next to each other, e.g. side-to-side arrangements [2013-01]
H01L 2224/37599
. . . . . .
Material [2013-01]
H01L 2224/376
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/37601
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/37605
. . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/37609
. . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/37611
. . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/37613
. . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/37614
. . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/37616
. . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/37617
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/37618
. . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/3762
. . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/37623
. . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/37624
. . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/37638
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/37639
. . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/37644
. . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/37647
. . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/37649
. . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/37655
. . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/37657
. . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/3766
. . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/37663
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/37664
. . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/37666
. . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/37669
. . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/3767
. . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/37671
. . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/37672
. . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/37673
. . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/37676
. . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/37678
. . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/37679
. . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/3768
. . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/37681
. . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/37683
. . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/37684
. . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/37686
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/37687
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/37688) [2013-01]
H01L 2224/37688
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/3769
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/37691
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/37693
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/376 - H01L 2224/37691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/37694
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/376 - H01L 2224/37691 [2016-05]
H01L 2224/37695
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/376 - H01L 2224/37691 [2016-05]
H01L 2224/37698
. . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/37699
. . . . . . . .
Material of the matrix [2013-01]
H01L 2224/377
. . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/37701
. . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/37705
. . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/37709
. . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/37711
. . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/37713
. . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/37714
. . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/37716
. . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/37717
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/37718
. . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/3772
. . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/37723
. . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/37724
. . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/37738
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/37739
. . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/37744
. . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/37747
. . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/37749
. . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/37755
. . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/37757
. . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/3776
. . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/37763
. . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/37764
. . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/37766
. . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/37769
. . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/3777
. . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/37771
. . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/37772
. . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/37773
. . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/37776
. . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/37778
. . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/37779
. . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/3778
. . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/37781
. . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/37783
. . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/37784
. . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/37786
. . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/37787
. . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/37788) [2013-01]
H01L 2224/37788
. . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/3779
. . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/37791
. . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/37793
. . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/377 - H01L 2224/37791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/37794
. . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/377 - H01L 2224/37791 [2016-05]
H01L 2224/37795
. . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/377 - H01L 2224/37791 [2016-05]
H01L 2224/37798
. . . . . . . .
Fillers [2013-01]
H01L 2224/37799
. . . . . . . . .
Base material [2013-01]
H01L 2224/378
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/37801
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/37805
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/37809
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/37811
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/37813
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/37814
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/37816
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/37817
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/37818
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/3782
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/37823
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/37824
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/37838
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/37839
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/37844
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/37847
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/37849
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/37855
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/37857
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/3786
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/37863
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/37864
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/37866
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/37869
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/3787
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/37871
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/37872
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/37873
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/37876
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/37878
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/37879
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/3788
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/37881
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/37883
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/37884
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/37886
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/37887
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/37888) [2013-01]
H01L 2224/37888
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/3789
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/37891
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/37893
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/378 - H01L 2224/37891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/37894
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/378 - H01L 2224/37891 [2016-05]
H01L 2224/37895
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/378 - H01L 2224/37891 [2016-05]
H01L 2224/37898
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/37899
. . . . . . . . .
Coating material [2013-01]
H01L 2224/379
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/37901
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/37905
. . . . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/37909
. . . . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/37911
. . . . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/37913
. . . . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/37914
. . . . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/37916
. . . . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/37917
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/37918
. . . . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/3792
. . . . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/37923
. . . . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/37924
. . . . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/37938
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/37939
. . . . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/37944
. . . . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/37947
. . . . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/37949
. . . . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/37955
. . . . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/37957
. . . . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/3796
. . . . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/37963
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/37964
. . . . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/37966
. . . . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/37969
. . . . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/3797
. . . . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/37971
. . . . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/37972
. . . . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/37973
. . . . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/37976
. . . . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/37978
. . . . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/37979
. . . . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/3798
. . . . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/37981
. . . . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/37983
. . . . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/37984
. . . . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/37986
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/37987
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/37988) [2013-01]
H01L 2224/37988
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/3799
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/37991
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/37993
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/379 - H01L 2224/37991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/37994
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/379 - H01L 2224/37991 [2016-05]
H01L 2224/37995
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/379 - H01L 2224/37991 [2016-05]
H01L 2224/37998
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/37999
. . . . . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/38
. . . .
of a plurality of strap connectors [2013-01]
H01L 2224/39
. . .
Structure, shape, material or disposition of the strap connectors after the connecting process [2013-01]
H01L 2224/40
. . . .
of an individual strap connector [2013-01]
H01L 2224/4001
. . . . .
Structure [2013-01]
H01L 2224/4005
. . . . .
Shape [2013-01]
H01L 2224/4007
. . . . . .
of bonding interfaces, e.g. interlocking features [2013-01]
H01L 2224/4009
. . . . . .
Loop shape [2013-01]
H01L 2224/40091
. . . . . . .
Arched [2013-01]
H01L 2224/40095
. . . . . . .
Kinked [2013-01]
H01L 2224/401
. . . . .
Disposition [2013-01]
H01L 2224/40101
. . . . . .
Connecting bonding areas at the same height, e.g. horizontal bond [2013-01]
H01L 2224/40105
. . . . . .
Connecting bonding areas at different heights [2013-01]
H01L 2224/40106
. . . . . . .
the connector being orthogonal to a side surface of the semiconductor or solid-state body, e.g. parallel layout [2013-01]
H01L 2224/40108
. . . . . . .
the connector not being orthogonal to a side surface of the semiconductor or solid-state body, e.g. fanned-out connectors, radial layout [2013-01]
H01L 2224/40111
. . . . . . .
the strap connector extending above another semiconductor or solid-state body [2013-01]
H01L 2224/4013
. . . . . .
Connecting within a semiconductor or solid-state body, i.e. fly strap, bridge strap [2016-08]
H01L 2224/40132
. . . . . . .
with an intermediate bond, e.g. continuous strap daisy chain [2013-01]
H01L 2224/40135
. . . . . .
Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip [2016-08]
H01L 2224/40137
. . . . . . .
the bodies being arranged next to each other, e.g. on a common substrate [2013-01]
H01L 2224/40139
. . . . . . . .
with an intermediate bond, e.g. continuous strap daisy chain [2013-01]
H01L 2224/40141
. . . . . . .
the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements [2013-01]
H01L 2224/40145
. . . . . . .
the bodies being stacked [2013-01]
H01L 2224/40147
. . . . . . . .
with an intermediate bond, e.g. continuous strap daisy chain [2013-01]
H01L 2224/40151
. . . . . .
Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive [2013-01]
H01L 2224/40153
. . . . . . .
the body and the item being arranged next to each other, e.g. on a common substrate [2013-01]
H01L 2224/40155
. . . . . . . .
the item being non-metallic, e.g. insulating substrate with or without metallisation [2013-01]
H01L 2224/40157
. . . . . . . . .
Connecting the strap to a bond pad of the item [2013-01]
H01L 2224/40158
. . . . . . . . . .
the bond pad being disposed in a recess of the surface of the item [2013-01]
H01L 2224/40159
. . . . . . . . . .
the bond pad protruding from the surface of the item [2013-01]
H01L 2224/4016
. . . . . . . . .
Connecting the strap to a pin of the item [2013-01]
H01L 2224/40163
. . . . . . . . .
Connecting the strap to a potential ring of the item [2013-01]
H01L 2224/40165
. . . . . . . . .
Connecting the strap to a via metallisation of the item [2013-01]
H01L 2224/40175
. . . . . . . .
the item being metallic [2013-01]
H01L 2224/40177
. . . . . . . . .
Connecting the strap to a bond pad of the item [2013-01]
H01L 2224/40178
. . . . . . . . . .
the bond pad being disposed in a recess of the surface of the item [2013-01]
H01L 2224/40179
. . . . . . . . . .
the bond pad protruding from the surface of the item [2013-01]
H01L 2224/40183
. . . . . . . . .
Connecting the strap to a potential ring of the item [2013-01]
H01L 2224/40195
. . . . . . . .
the item being a discrete passive component [2013-01]
H01L 2224/40221
. . . . . . .
the body and the item being stacked [2013-01]
H01L 2224/40225
. . . . . . . .
the item being non-metallic, e.g. insulating substrate with or without metallisation [2013-01]
H01L 2224/40227
. . . . . . . . .
Connecting the strap to a bond pad of the item [2013-01]
H01L 2224/40228
. . . . . . . . . .
the bond pad being disposed in a recess of the surface of the item [2013-01]
H01L 2224/40229
. . . . . . . . . .
the bond pad protruding from the surface of the item [2013-01]
H01L 2224/4023
. . . . . . . . .
Connecting the strap to a pin of the item [2013-01]
H01L 2224/40233
. . . . . . . . .
Connecting the strap to a potential ring of the item [2013-01]
H01L 2224/40235
. . . . . . . . .
Connecting the strap to a via metallisation of the item [2013-01]
H01L 2224/40237
. . . . . . . . .
Connecting the strap to a die pad of the item [2013-01]
H01L 2224/4024
. . . . . . . . .
Connecting between the body and an opposite side of the item with respect to the body [2013-01]
H01L 2224/40245
. . . . . . . .
the item being metallic [2013-01]
H01L 2224/40247
. . . . . . . . .
Connecting the strap to a bond pad of the item [2013-01]
H01L 2224/40248
. . . . . . . . . .
the bond pad being disposed in a recess of the surface of the item [2013-01]
H01L 2224/40249
. . . . . . . . . .
the bond pad protruding from the surface of the item [2013-01]
H01L 2224/40253
. . . . . . . . .
Connecting the strap to a potential ring of the item [2013-01]
H01L 2224/40257
. . . . . . . . .
Connecting the strap to a die pad of the item [2013-01]
H01L 2224/4026
. . . . . . . . .
Connecting between the body and an opposite side of the item with respect to the body [2013-01]
H01L 2224/40265
. . . . . . . .
the item being a discrete passive component [2013-01]
H01L 2224/404
. . . . .
Connecting portions [2013-01]
H01L 2224/4046
. . . . . .
with multiple bonds on the same bonding area [2013-01]
H01L 2224/40475
. . . . . .
connected to auxiliary connecting means on the bonding areas [2013-01]
H01L 2224/40477
. . . . . . .
being a pre-ball (i.e. a ball formed by capillary bonding) [2013-01]
H01L 2224/40479
. . . . . . . .
on the semiconductor or solid-state body [2013-01]
H01L 2224/4048
. . . . . . . .
outside the semiconductor or solid-state body [2013-01]
H01L 2224/40484
. . . . . . . .
being a plurality of pre-balls disposed side-to-side [2013-01]
H01L 2224/40486
. . . . . . . . .
on the semiconductor or solid-state body [2013-01]
H01L 2224/40487
. . . . . . . . .
outside the semiconductor or solid-state body [2013-01]
H01L 2224/40491
. . . . . . .
being an additional member attached to the bonding area through an adhesive or solder, e.g. buffer pad [2013-01]
H01L 2224/40496
. . . . . . .
not being interposed between the connector and the bonding area [2013-01]
H01L 2224/40499
. . . . . . .
Material of the auxiliary connecting means [2013-01]
H01L 2224/405
. . . . .
Material [2013-01]
H01L 2224/40505
. . . . . .
at the bonding interface [2013-01]
H01L 2224/40506
. . . . . . .
comprising an eutectic alloy [2013-01]
H01L 2224/40507
. . . . . . .
comprising an intermetallic compound [2013-01]
H01L 2224/4051
. . . . . . .
Morphology of the connecting portion, e.g. grain size distribution [2013-01]
H01L 2224/4052
. . . . . . .
Bonding interface between the connecting portion and the bonding area [2013-01]
H01L 2224/4099
. . . . .
Auxiliary members for strap connectors, e.g. flow-barriers, spacers [2013-01]
H01L 2224/40991
. . . . . .
being formed on the semiconductor or solid-state body to be connected [2013-01]
H01L 2224/40992
. . . . . . .
Reinforcing structures [2013-01]
H01L 2224/40993
. . . . . . .
Alignment aids [2013-01]
H01L 2224/40996
. . . . . .
being formed on an item to be connected not being a semiconductor or solid-state body [2013-01]
H01L 2224/40997
. . . . . . .
Reinforcing structures [2013-01]
H01L 2224/40998
. . . . . . .
Alignment aids [2013-01]
H01L 2224/41
. . . .
of a plurality of strap connectors [2013-01]
H01L 2224/4101
. . . . .
Structure [2013-01]
H01L 2224/4103
. . . . . .
Connectors having different sizes [2013-01]
H01L 2224/4105
. . . . .
Shape [2013-01]
H01L 2224/41051
. . . . . .
Connectors having different shapes [2013-01]
H01L 2224/41052
. . . . . . .
Different loop heights [2013-01]
H01L 2224/411
. . . . .
Disposition [2013-01]
H01L 2224/41105
. . . . . .
Connecting at different heights [2013-01]
H01L 2224/41107
. . . . . . .
on the semiconductor or solid-state body being [2013-01]
H01L 2224/41109
. . . . . . .
outside the semiconductor or solid-state body [2013-01]
H01L 2224/4111
. . . . . .
the connectors being bonded to at least one common bonding area, e.g. daisy chain [2013-01]
H01L 2224/41111
. . . . . . .
the connectors connecting two common bonding areas [2013-01]
H01L 2224/41112
. . . . . . .
the connectors connecting a common bonding area on the semiconductor or solid-state body to different bonding areas outside the body, e.g. diverging straps [2013-01]
H01L 2224/41113
. . . . . . .
the connectors connecting different bonding areas on the semiconductor or solid-state body to a common bonding area outside the body, e.g. converging straps [2013-01]
H01L 2224/4112
. . . . . .
Layout [2013-01]
H01L 2224/4117
. . . . . . .
Crossed straps [2013-01]
H01L 2224/41171
. . . . . . .
Fan-out arrangements [2013-01]
H01L 2224/41173
. . . . . . . .
Radial fan-out arrangements [2013-01]
H01L 2224/41174
. . . . . . .
Stacked arrangements [2013-01]
H01L 2224/41175
. . . . . . .
Parallel arrangements [2013-01]
H01L 2224/41176
. . . . . . . .
Strap connectors having the same loop shape and height [2013-01]
H01L 2224/41177
. . . . . . .
Combinations of different arrangements [2013-01]
H01L 2224/41179
. . . . . . .
Corner adaptations, i.e. disposition of the strap connectors at the corners of the semiconductor or solid-state body [2013-01]
H01L 2224/4118
. . . . . .
being disposed on at least two different sides of the body, e.g. dual array [2013-01]
H01L 2224/414
. . . . .
Connecting portions [2013-01]
H01L 2224/4141
. . . . . .
the connecting portions being stacked [2013-01]
H01L 2224/41421
. . . . . . .
on the semiconductor or solid-state body [2013-01]
H01L 2224/41422
. . . . . . .
outside the semiconductor or solid-state body [2013-01]
H01L 2224/4143
. . . . . .
the connecting portions being staggered [2013-01]
H01L 2224/415
. . . . .
Material [2013-01]
H01L 2224/41505
. . . . . .
Connectors having different materials [2013-01]
H01L 2224/42
. .
Wire connectors; Manufacturing methods related thereto [2013-01]
H01L 2224/43
. . .
Manufacturing methods [2013-01]
H01L 2224/43001
. . . .
Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate [2013-01]
H01L 2224/431
. . . .
Pre-treatment of the preform connector [2013-01]
H01L 2224/4312
. . . . .
Applying permanent coating, e.g. in-situ coating [2013-01]
H01L 2224/43125
. . . . . .
Plating, e.g. electroplating, electroless plating [2013-01]
H01L 2224/432
. . . .
Mechanical processes [2013-01]
H01L 2224/4321
. . . . .
Pulling [2013-01]
H01L 2224/435
. . . .
Modification of a pre-existing material [2013-01]
H01L 2224/4351
. . . . .
Sintering [2013-01]
H01L 2224/4352
. . . . .
Anodisation [2013-01]
H01L 2224/437
. . . .
Involving monitoring, e.g. feedback loop [2013-01]
H01L 2224/438
. . . .
Post-treatment of the connector [2013-01]
H01L 2224/4381
. . . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/4382
. . . . .
Applying permanent coating, e.g. in-situ coating [2013-01]
H01L 2224/43821
. . . . . .
Spray coating [2013-01]
H01L 2224/43822
. . . . . .
Dip coating [2013-01]
H01L 2224/43823
. . . . . .
Immersion coating, e.g. solder bath [2013-01]
H01L 2224/43824
. . . . . .
Chemical solution deposition [CSD], i.e. using a liquid precursor [2013-01]
H01L 2224/43825
. . . . . .
Plating, e.g. electroplating, electroless plating [2013-01]
H01L 2224/43826
. . . . . .
Physical vapour deposition [PVD], e.g. evaporation, sputtering [2013-01]
H01L 2224/43827
. . . . . .
Chemical vapour deposition [CVD], e.g. laser CVD [2013-01]
H01L 2224/4383
. . . . .
Reworking [2013-01]
H01L 2224/43831
. . . . . .
with a chemical process, e.g. with etching of the connector [2013-01]
H01L 2224/43847
. . . . . .
with a mechanical process, e.g. with flattening of the connector [2013-01]
H01L 2224/43848
. . . . .
Thermal treatments, e.g. annealing, controlled cooling [2013-01]
H01L 2224/43985
. . . .
Methods of manufacturing wire connectors involving a specific sequence of method steps [2013-01]
H01L 2224/43986
. . . . .
with repetition of the same manufacturing step [2013-01]
H01L 2224/44
. . .
Structure, shape, material or disposition of the wire connectors prior to the connecting process [2013-01]
H01L 2224/45
. . . .
of an individual wire connector [2013-01]
H01L 2224/45001
. . . . .
Core members of the connector [2013-01]
H01L 2224/45005
. . . . . .
Structure [2013-01]
H01L 2224/4501
. . . . . .
Shape [2013-01]
H01L 2224/45012
. . . . . . .
Cross-sectional shape [2013-01]
H01L 2224/45013
. . . . . . . .
being non uniform along the connector [2013-01]
H01L 2224/45014
. . . . . . . .
Ribbon connectors, e.g. rectangular cross-section [2013-01]
H01L 2224/45015
. . . . . . . .
being circular [2013-01]
H01L 2224/45016
. . . . . . . .
being elliptic [2013-01]
H01L 2224/4502
. . . . . .
Disposition [2013-01]
H01L 2224/45025
. . . . . .
Plural core members [2013-01]
H01L 2224/45026
. . . . . . .
being mutually engaged together, e.g. through inserts [2013-01]
H01L 2224/45028
. . . . . . .
Side-to-side arrangements [2013-01]
H01L 2224/4503
. . . . . . .
Stacked arrangements [2013-01]
H01L 2224/45032
. . . . . . . .
Two-layer arrangements [2013-01]
H01L 2224/45033
. . . . . . . .
Three-layer arrangements [2013-01]
H01L 2224/45034
. . . . . . . .
Four-layer arrangements [2013-01]
H01L 2224/45099
. . . . . .
Material [2013-01]
H01L 2224/451
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/45101
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/45105
. . . . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/45109
. . . . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/45111
. . . . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/45113
. . . . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/45114
. . . . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/45116
. . . . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/45117
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/45118
. . . . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/4512
. . . . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/45123
. . . . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/45124
. . . . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/45138
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/45139
. . . . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/45144
. . . . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/45147
. . . . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/45149
. . . . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/45155
. . . . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/45157
. . . . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/4516
. . . . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/45163
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/45164
. . . . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/45166
. . . . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/45169
. . . . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/4517
. . . . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/45171
. . . . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/45172
. . . . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/45173
. . . . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/45176
. . . . . . . . .
Ruthenium (Ru) as principal constituent [2013-01]
H01L 2224/45178
. . . . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/45179
. . . . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/4518
. . . . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/45181
. . . . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/45183
. . . . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/45184
. . . . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/45186
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/45187
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45188) [2013-01]
H01L 2224/45188
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/4519
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/45191
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/45193
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/451 - H01L 2224/45191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/45194
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/451 - H01L 2224/45191 [2016-05]
H01L 2224/45195
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/451 - H01L 2224/45191 [2016-05]
H01L 2224/45198
. . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/45199
. . . . . . . .
Material of the matrix [2013-01]
H01L 2224/452
. . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/45201
. . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/45205
. . . . . . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/45209
. . . . . . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/45211
. . . . . . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/45213
. . . . . . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/45214
. . . . . . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/45216
. . . . . . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/45217
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/45218
. . . . . . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/4522
. . . . . . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/45223
. . . . . . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/45224
. . . . . . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/45238
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/45239
. . . . . . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/45244
. . . . . . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/45247
. . . . . . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/45249
. . . . . . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/45255
. . . . . . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/45257
. . . . . . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/4526
. . . . . . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/45263
. . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/45264
. . . . . . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/45266
. . . . . . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/45269
. . . . . . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/4527
. . . . . . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/45271
. . . . . . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/45272
. . . . . . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/45273
. . . . . . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/45276
. . . . . . . . . . .
Ruthenium (Ru) as principal constituent [2013-01]
H01L 2224/45278
. . . . . . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/45279
. . . . . . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/4528
. . . . . . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/45281
. . . . . . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/45283
. . . . . . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/45284
. . . . . . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/45286
. . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/45287
. . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45288) [2013-01]
H01L 2224/45288
. . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/4529
. . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/45291
. . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/45293
. . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/452 - H01L 2224/45291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/45294
. . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/452 - H01L 2224/45291 [2016-05]
H01L 2224/45295
. . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/452 - H01L 2224/45291 [2016-05]
H01L 2224/45298
. . . . . . . .
Fillers [2013-01]
H01L 2224/45299
. . . . . . . . .
Base material [2013-01]
H01L 2224/453
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/45301
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/45305
. . . . . . . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/45309
. . . . . . . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/45311
. . . . . . . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/45313
. . . . . . . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/45314
. . . . . . . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/45316
. . . . . . . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/45317
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/45318
. . . . . . . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/4532
. . . . . . . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/45323
. . . . . . . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/45324
. . . . . . . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/45338
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/45339
. . . . . . . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/45344
. . . . . . . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/45347
. . . . . . . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/45349
. . . . . . . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/45355
. . . . . . . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/45357
. . . . . . . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/4536
. . . . . . . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/45363
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/45364
. . . . . . . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/45366
. . . . . . . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/45369
. . . . . . . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/4537
. . . . . . . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/45371
. . . . . . . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/45372
. . . . . . . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/45373
. . . . . . . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/45376
. . . . . . . . . . . .
Ruthenium (Ru) as principal constituent [2013-01]
H01L 2224/45378
. . . . . . . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/45379
. . . . . . . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/4538
. . . . . . . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/45381
. . . . . . . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/45383
. . . . . . . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/45384
. . . . . . . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/45386
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/45387
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45388) [2013-01]
H01L 2224/45388
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/4539
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/45391
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/45393
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/453 - H01L 2224/45391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/45394
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/453 - H01L 2224/45391 [2016-05]
H01L 2224/45395
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/453 - H01L 2224/45391 [2016-05]
H01L 2224/45398
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/45399
. . . . . . . . .
Coating material [2013-01]
H01L 2224/454
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/45401
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/45405
. . . . . . . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/45409
. . . . . . . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/45411
. . . . . . . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/45413
. . . . . . . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/45414
. . . . . . . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/45416
. . . . . . . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/45417
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/45418
. . . . . . . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/4542
. . . . . . . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/45423
. . . . . . . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/45424
. . . . . . . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/45438
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/45439
. . . . . . . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/45444
. . . . . . . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/45447
. . . . . . . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/45449
. . . . . . . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/45455
. . . . . . . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/45457
. . . . . . . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/4546
. . . . . . . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/45463
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/45464
. . . . . . . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/45466
. . . . . . . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/45469
. . . . . . . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/4547
. . . . . . . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/45471
. . . . . . . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/45472
. . . . . . . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/45473
. . . . . . . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/45476
. . . . . . . . . . . .
Ruthenium (Ru) as principal constituent [2013-01]
H01L 2224/45478
. . . . . . . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/45479
. . . . . . . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/4548
. . . . . . . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/45481
. . . . . . . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/45483
. . . . . . . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/45484
. . . . . . . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/45486
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/45487
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45488) [2013-01]
H01L 2224/45488
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/4549
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/45491
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/45493
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/454 - H01L 2224/45491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/45494
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/454 - H01L 2224/45491 [2016-05]
H01L 2224/45495
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/454 - H01L 2224/45491 [2016-05]
H01L 2224/45498
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/45499
. . . . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/4554
. . . . .
Coating [2013-01]
H01L 2224/45541
. . . . . .
Structure [2013-01]
H01L 2224/4555
. . . . . .
Shape [2013-01]
H01L 2224/4556
. . . . . .
Disposition, e.g. coating on a part of the core [2013-01]
H01L 2224/45565
. . . . . .
Single coating layer [2013-01]
H01L 2224/4557
. . . . . .
Plural coating layers [2013-01]
H01L 2224/45572
. . . . . . .
Two-layer stack coating [2013-01]
H01L 2224/45573
. . . . . . .
Three-layer stack coating [2013-01]
H01L 2224/45574
. . . . . . .
Four-layer stack coating [2013-01]
H01L 2224/45576
. . . . . . .
being mutually engaged together, e.g. through inserts [2013-01]
H01L 2224/45578
. . . . . . .
being disposed next to each other, e.g. side-to-side arrangements [2013-01]
H01L 2224/45599
. . . . . .
Material [2013-01]
H01L 2224/456
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/45601
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/45605
. . . . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/45609
. . . . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/45611
. . . . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/45613
. . . . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/45614
. . . . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/45616
. . . . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/45617
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/45618
. . . . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/4562
. . . . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/45623
. . . . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/45624
. . . . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/45638
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/45639
. . . . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/45644
. . . . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/45647
. . . . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/45649
. . . . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/45655
. . . . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/45657
. . . . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/4566
. . . . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/45663
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/45664
. . . . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/45666
. . . . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/45669
. . . . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/4567
. . . . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/45671
. . . . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/45672
. . . . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/45673
. . . . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/45676
. . . . . . . . .
Ruthenium (Ru) as principal constituent [2013-01]
H01L 2224/45678
. . . . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/45679
. . . . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/4568
. . . . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/45681
. . . . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/45683
. . . . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/45684
. . . . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/45686
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/45687
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45688) [2013-01]
H01L 2224/45688
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/4569
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/45691
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/45693
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/456 - H01L 2224/45691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/45694
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/456 - H01L 2224/45691 [2016-05]
H01L 2224/45695
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/456 - H01L 2224/45691 [2016-05]
H01L 2224/45698
. . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/45699
. . . . . . . .
Material of the matrix [2013-01]
H01L 2224/457
. . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/45701
. . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/45705
. . . . . . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/45709
. . . . . . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/45711
. . . . . . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/45713
. . . . . . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/45714
. . . . . . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/45716
. . . . . . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/45717
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/45718
. . . . . . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/4572
. . . . . . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/45723
. . . . . . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/45724
. . . . . . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/45738
. . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/45739
. . . . . . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/45744
. . . . . . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/45747
. . . . . . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/45749
. . . . . . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/45755
. . . . . . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/45757
. . . . . . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/4576
. . . . . . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/45763
. . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/45764
. . . . . . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/45766
. . . . . . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/45769
. . . . . . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/4577
. . . . . . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/45771
. . . . . . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/45772
. . . . . . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/45773
. . . . . . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/45776
. . . . . . . . . . .
Ruthenium (Ru) as principal constituent [2013-01]
H01L 2224/45778
. . . . . . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/45779
. . . . . . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/4578
. . . . . . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/45781
. . . . . . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/45783
. . . . . . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/45784
. . . . . . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/45786
. . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/45787
. . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45788) [2013-01]
H01L 2224/45788
. . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/4579
. . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/45791
. . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/45793
. . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/457 - H01L 2224/45791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/45794
. . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/457 - H01L 2224/45791 [2016-05]
H01L 2224/45795
. . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/457 - H01L 2224/45791 [2016-05]
H01L 2224/45798
. . . . . . . .
Fillers [2013-01]
H01L 2224/45799
. . . . . . . . .
Base material [2013-01]
H01L 2224/458
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/45801
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/45805
. . . . . . . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/45809
. . . . . . . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/45811
. . . . . . . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/45813
. . . . . . . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/45814
. . . . . . . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/45816
. . . . . . . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/45817
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/45818
. . . . . . . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/4582
. . . . . . . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/45823
. . . . . . . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/45824
. . . . . . . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/45838
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/45839
. . . . . . . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/45844
. . . . . . . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/45847
. . . . . . . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/45849
. . . . . . . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/45855
. . . . . . . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/45857
. . . . . . . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/4586
. . . . . . . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/45863
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/45864
. . . . . . . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/45866
. . . . . . . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/45869
. . . . . . . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/4587
. . . . . . . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/45871
. . . . . . . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/45872
. . . . . . . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/45873
. . . . . . . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/45876
. . . . . . . . . . . .
Ruthenium (Ru) as principal constituent [2013-01]
H01L 2224/45878
. . . . . . . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/45879
. . . . . . . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/4588
. . . . . . . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/45881
. . . . . . . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/45883
. . . . . . . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/45884
. . . . . . . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/45886
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/45887
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45888) [2013-01]
H01L 2224/45888
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/4589
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/45891
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/45893
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/458 - H01L 2224/45891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/45894
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/458 - H01L 2224/45891 [2016-05]
H01L 2224/45895
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/458 - H01L 2224/45891 [2016-05]
H01L 2224/45898
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/45899
. . . . . . . . .
Coating material [2013-01]
H01L 2224/459
. . . . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/45901
. . . . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/45905
. . . . . . . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/45909
. . . . . . . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/45911
. . . . . . . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/45913
. . . . . . . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/45914
. . . . . . . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/45916
. . . . . . . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/45917
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/45918
. . . . . . . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/4592
. . . . . . . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/45923
. . . . . . . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/45924
. . . . . . . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/45938
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/45939
. . . . . . . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/45944
. . . . . . . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/45947
. . . . . . . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/45949
. . . . . . . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/45955
. . . . . . . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/45957
. . . . . . . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/4596
. . . . . . . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/45963
. . . . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/45964
. . . . . . . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/45966
. . . . . . . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/45969
. . . . . . . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/4597
. . . . . . . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/45971
. . . . . . . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/45972
. . . . . . . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/45973
. . . . . . . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/45976
. . . . . . . . . . . .
Ruthenium (Ru) as principal constituent [2013-01]
H01L 2224/45978
. . . . . . . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/45979
. . . . . . . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/4598
. . . . . . . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/45981
. . . . . . . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/45983
. . . . . . . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/45984
. . . . . . . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/45986
. . . . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/45987
. . . . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45988) [2013-01]
H01L 2224/45988
. . . . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/4599
. . . . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/45991
. . . . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/45993
. . . . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/459 - H01L 2224/45991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/45994
. . . . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/459 - H01L 2224/45991 [2016-05]
H01L 2224/45995
. . . . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/459 - H01L 2224/45991 [2016-05]
H01L 2224/45998
. . . . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/45999
. . . . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/46
. . . .
of a plurality of wire connectors [2013-01]
H01L 2224/47
. . .
Structure, shape, material or disposition of the wire connectors after the connecting process [2013-01]
H01L 2224/48
. . . .
of an individual wire connector [2013-01]
H01L 2224/4801
. . . . .
Structure [2013-01]
H01L 2224/48011
. . . . . .
Length [2013-01]
H01L 2224/4805
. . . . .
Shape [2013-01]
H01L 2224/4807
. . . . . .
of bonding interfaces, e.g. interlocking features [2013-01]
H01L 2224/4809
. . . . . .
Loop shape [2013-01]
H01L 2224/48091
. . . . . . .
Arched [2013-01]
H01L 2224/48092
. . . . . . .
Helix [2013-01]
H01L 2224/48095
. . . . . . .
Kinked [2013-01]
H01L 2224/48096
. . . . . . . .
the kinked part being in proximity to the bonding area on the semiconductor or solid-state body [2013-01]
H01L 2224/48097
. . . . . . . .
the kinked part being in proximity to the bonding area outside the semiconductor or solid-state body [2013-01]
H01L 2224/481
. . . . .
Disposition [2013-01]
H01L 2224/48101
. . . . . .
Connecting bonding areas at the same height, e.g. horizontal bond [2013-01]
H01L 2224/48105
. . . . . .
Connecting bonding areas at different heights [2013-01]
H01L 2224/48106
. . . . . . .
the connector being orthogonal to a side surface of the semiconductor or solid-state body, e.g. parallel layout [2013-01]
H01L 2224/48108
. . . . . . .
the connector not being orthogonal to a side surface of the semiconductor or solid-state body, e.g. fanned-out connectors, radial layout [2013-01]
H01L 2224/4811
. . . . . .
Connecting to a bonding area of the semiconductor or solid-state body located at the far end of the body with respect to the bonding area outside the semiconductor or solid-state body [2013-01]
H01L 2224/48111
. . . . . .
the wire connector extending above another semiconductor or solid-state body [2013-01]
H01L 2224/4813
. . . . . .
Connecting within a semiconductor or solid-state body, i.e. fly wire, bridge wire [2016-08]
H01L 2224/48132
. . . . . . .
with an intermediate bond, e.g. continuous wire daisy chain [2013-01]
H01L 2224/48135
. . . . . .
Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip [2016-08]
H01L 2224/48137
. . . . . . .
the bodies being arranged next to each other, e.g. on a common substrate [2013-01]
H01L 2224/48138
. . . . . . . .
the wire connector connecting to a bonding area disposed in a recess of the surface [2013-01]
H01L 2224/48139
. . . . . . . .
with an intermediate bond, e.g. continuous wire daisy chain [2013-01]
H01L 2224/4814
. . . . . . . .
the wire connector connecting to a bonding area protruding from the surface [2013-01]
H01L 2224/48141
. . . . . . .
the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements [2013-01]
H01L 2224/48145
. . . . . . .
the bodies being stacked [2013-01]
H01L 2224/48147
. . . . . . . .
with an intermediate bond, e.g. continuous wire daisy chain [2013-01]
H01L 2224/48148
. . . . . . . .
the wire connector connecting to a bonding area disposed in a recess of the surface [2013-01]
H01L 2224/48149
. . . . . . . .
the wire connector connecting to a bonding area protruding from the surface [2013-01]
H01L 2224/48151
. . . . . .
Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive [2013-01]
H01L 2224/48153
. . . . . . .
the body and the item being arranged next to each other, e.g. on a common substrate [2013-01]
H01L 2224/48155
. . . . . . . .
the item being non-metallic, e.g. insulating substrate with or without metallisation [2013-01]
H01L 2224/48157
. . . . . . . . .
connecting the wire to a bond pad of the item [2013-01]
H01L 2224/48158
. . . . . . . . . .
the bond pad being disposed in a recess of the surface of the item [2013-01]
H01L 2224/48159
. . . . . . . . . .
the bond pad protruding from the surface of the item [2013-01]
H01L 2224/4816
. . . . . . . . .
connecting the wire to a pin of the item [2013-01]
H01L 2224/48163
. . . . . . . . .
connecting the wire to a potential ring of the item [2013-01]
H01L 2224/48165
. . . . . . . . .
connecting the wire to a via metallisation of the item [2013-01]
H01L 2224/48175
. . . . . . . .
the item being metallic [2013-01]
H01L 2224/48177
. . . . . . . . .
connecting the wire to a bond pad of the item [2013-01]
H01L 2224/48178
. . . . . . . . .
the bond pad being disposed in a recess of the surface of the item [2013-01]
H01L 2224/48179
. . . . . . . . .
the bond pad protruding from the surface of the item [2013-01]
H01L 2224/48183
. . . . . . . . .
connecting the wire to a potential ring of the item [2013-01]
H01L 2224/48195
. . . . . . . .
the item being a discrete passive component [2013-01]
H01L 2224/48221
. . . . . . .
the body and the item being stacked [2013-01]
H01L 2224/48225
. . . . . . . .
the item being non-metallic, e.g. insulating substrate with or without metallisation [2013-01]
H01L 2224/48227
. . . . . . . . .
connecting the wire to a bond pad of the item [2013-01]
H01L 2224/48228
. . . . . . . . . .
the bond pad being disposed in a recess of the surface of the item [2013-01]
H01L 2224/48229
. . . . . . . . . .
the bond pad protruding from the surface of the item [2013-01]
H01L 2224/4823
. . . . . . . . .
connecting the wire to a pin of the item [2013-01]
H01L 2224/48233
. . . . . . . . .
connecting the wire to a potential ring of the item [2013-01]
H01L 2224/48235
. . . . . . . . .
connecting the wire to a via metallisation of the item [2013-01]
H01L 2224/48237
. . . . . . . . .
connecting the wire to a die pad of the item [2013-01]
H01L 2224/4824
. . . . . . . . .
Connecting between the body and an opposite side of the item with respect to the body [2013-01]
H01L 2224/48245
. . . . . . . .
the item being metallic [2013-01]
H01L 2224/48247
. . . . . . . . .
connecting the wire to a bond pad of the item [2013-01]
H01L 2224/48248
. . . . . . . . . .
the bond pad being disposed in a recess of the surface of the item [2013-01]
H01L 2224/48249
. . . . . . . . . .
the bond pad protruding from the surface of the item [2013-01]
H01L 2224/48253
. . . . . . . . .
connecting the wire to a potential ring of the item [2013-01]
H01L 2224/48257
. . . . . . . . .
connecting the wire to a die pad of the item [2013-01]
H01L 2224/4826
. . . . . . . . .
Connecting between the body and an opposite side of the item with respect to the body [2013-01]
H01L 2224/48265
. . . . . . . .
the item being a discrete passive component [2013-01]
H01L 2224/484
. . . . .
Connecting portions [2013-01]
H01L 2224/4845
. . . . . .
Details of ball bonds [2013-01]
H01L 2224/48451
. . . . . . .
Shape [2013-01]
H01L 2224/48453
. . . . . . . .
of the interface with the bonding area [2013-01]
H01L 2224/48455
. . . . . .
Details of wedge bonds [2013-01]
H01L 2224/48456
. . . . . . .
Shape [2013-01]
H01L 2224/48458
. . . . . . . .
of the interface with the bonding area [2013-01]
H01L 2224/4846
. . . . . .
with multiple bonds on the same bonding area [2013-01]
H01L 2224/48463
. . . . . .
the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond [2013-01]
H01L 2224/48464
. . . . . . .
the other connecting portion not on the bonding area also being a ball bond, i.e. ball-to-ball [2013-01]
H01L 2224/48465
. . . . . . .
the other connecting portion not on the bonding area being a wedge bond, i.e. ball-to-wedge, regular stitch [2013-01]
H01L 2224/4847
. . . . . .
the connecting portion on the bonding area of the semiconductor or solid-state body being a wedge bond [2013-01]
H01L 2224/48471
. . . . . . .
the other connecting portion not on the bonding area being a ball bond, i.e. wedge-to-ball, reverse stitch [2013-01]
H01L 2224/48472
. . . . . . .
the other connecting portion not on the bonding area also being a wedge bond, i.e. wedge-to-wedge [2013-01]
H01L 2224/48475
. . . . . .
connected to auxiliary connecting means on the bonding areas, e.g. pre-ball, wedge-on-ball, ball-on-ball [2013-01]
H01L 2224/48476
. . . . . . .
between the wire connector and the bonding area [2013-01]
H01L 2224/48477
. . . . . . . .
being a pre-ball (i.e. a ball formed by capillary bonding) [2013-01]
H01L 2224/48478
. . . . . . . . .
the connecting portion being a wedge bond, i.e. wedge on pre-ball [2013-01]
H01L 2224/48479
. . . . . . . . . .
on the semiconductor or solid-state body [2013-01]
H01L 2224/4848
. . . . . . . . . .
outside the semiconductor or solid-state body [2013-01]
H01L 2224/48481
. . . . . . . . .
the connecting portion being a ball bond, i.e. ball on pre-ball [2013-01]
H01L 2224/48482
. . . . . . . . . .
on the semiconductor or solid-state body [2013-01]
H01L 2224/48483
. . . . . . . . . .
outside the semiconductor or solid-state body [2013-01]
H01L 2224/48484
. . . . . . . . .
being a plurality of pre-balls disposed side-to-side [2013-01]
H01L 2224/48485
. . . . . . . . . .
the connecting portion being a wedge bond, i.e. wedge on pre-ball [2013-01]
H01L 2224/48486
. . . . . . . . . . .
on the semiconductor or solid-state body [2013-01]
H01L 2224/48487
. . . . . . . . . . .
outside the semiconductor or solid-state body [2013-01]
H01L 2224/48488
. . . . . . . . . .
the connecting portion being a ball bond, i.e. ball on pre-ball [2013-01]
H01L 2224/48489
. . . . . . . . . . .
on the semiconductor or solid-state body [2013-01]
H01L 2224/4849
. . . . . . . . . . .
outside the semiconductor or solid-state body [2013-01]
H01L 2224/48491
. . . . . . . .
being an additional member attached to the bonding area through an adhesive or solder, e.g. buffer pad [2013-01]
H01L 2224/48496
. . . . . . .
not being interposed between the wire connector and the bonding area [2013-01]
H01L 2224/48499
. . . . . . .
Material of the auxiliary connecting means [2013-01]
H01L 2224/485
. . . . .
Material [2013-01]
H01L 2224/48505
. . . . . .
at the bonding interface [2013-01]
H01L 2224/48506
. . . . . . .
comprising an eutectic alloy [2013-01]
H01L 2224/48507
. . . . . . .
comprising an intermetallic compound [2013-01]
H01L 2224/4851
. . . . . . .
Morphology of the connecting portion, e.g. grain size distribution [2013-01]
H01L 2224/48511
. . . . . . . .
Heat affected zone [HAZ] [2013-01]
H01L 2224/4852
. . . . . . .
Bonding interface between the connecting portion and the bonding area [2013-01]
H01L 2224/48599
. . . . . . .
Principal constituent of the connecting portion of the wire connector being Gold (Au) [2013-01]
H01L 2224/486
. . . . . . . .
with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/48601
. . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/48605
. . . . . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/48609
. . . . . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/48611
. . . . . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/48613
. . . . . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/48614
. . . . . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/48616
. . . . . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/48617
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C [2013-01]
H01L 2224/48618
. . . . . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/4862
. . . . . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/48623
. . . . . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/48624
. . . . . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/48638
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/48639
. . . . . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/48644
. . . . . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/48647
. . . . . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/48649
. . . . . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/48655
. . . . . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/48657
. . . . . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/4866
. . . . . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/48663
. . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/48664
. . . . . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/48666
. . . . . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/48669
. . . . . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/4867
. . . . . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/48671
. . . . . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/48672
. . . . . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/48673
. . . . . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/48678
. . . . . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/48679
. . . . . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/4868
. . . . . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/48681
. . . . . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/48683
. . . . . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/48684
. . . . . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/48686
. . . . . . . .
with a principal constituent of the bonding area being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/48687
. . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/48688) [2013-01]
H01L 2224/48688
. . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/4869
. . . . . . . .
with a principal constituent of the bonding area being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/48691
. . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/48693
. . . . . . . .
with a principal constituent of the bonding area being a solid not provided for in groups H01L 2224/486 - H01L 2224/4869, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/48694
. . . . . . . .
with a principal constituent of the bonding area being a liquid not provided for in groups H01L 2224/486 - H01L 2224/4869 [2016-05]
H01L 2224/48698
. . . . . . . .
with a principal constituent of the bonding area being a combination of two or more material regions, i.e. being a hybrid material, e.g. segmented structures, island patterns [2016-08]
H01L 2224/48699
. . . . . . .
Principal constituent of the connecting portion of the wire connector being Aluminium (Al) [2013-01]
H01L 2224/487
. . . . . . . .
with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/48701
. . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/48705
. . . . . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/48709
. . . . . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/48711
. . . . . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/48713
. . . . . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/48714
. . . . . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/48716
. . . . . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/48717
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C [2013-01]
H01L 2224/48718
. . . . . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/4872
. . . . . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/48723
. . . . . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/48724
. . . . . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/48738
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/48739
. . . . . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/48744
. . . . . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/48747
. . . . . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/48749
. . . . . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/48755
. . . . . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/48757
. . . . . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/4876
. . . . . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/48763
. . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/48764
. . . . . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/48766
. . . . . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/48769
. . . . . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/4877
. . . . . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/48771
. . . . . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/48772
. . . . . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/48773
. . . . . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/48778
. . . . . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/48779
. . . . . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/4878
. . . . . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/48781
. . . . . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/48783
. . . . . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/48784
. . . . . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/48786
. . . . . . . .
with a principal constituent of the bonding area being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/48787
. . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/48788) [2013-01]
H01L 2224/48788
. . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/4879
. . . . . . . .
with a principal constituent of the bonding area being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/48791
. . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/48793
. . . . . . . .
with a principal constituent of the bonding area being a solid not provided for in groups H01L 2224/487 - H01L 2224/4879, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/48794
. . . . . . . .
with a principal constituent of the bonding area being a liquid not provided for in groups H01L 2224/487 - H01L 2224/4879 [2016-05]
H01L 2224/48798
. . . . . . . .
with a principal constituent of the bonding area being a combination of two or more material regions, i.e. being a hybrid material, e.g. segmented structures, island patterns [2016-08]
H01L 2224/48799
. . . . . . .
Principal constituent of the connecting portion of the wire connector being Copper (Cu) [2013-01]
H01L 2224/488
. . . . . . . .
with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/48801
. . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/48805
. . . . . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/48809
. . . . . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/48811
. . . . . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/48813
. . . . . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/48814
. . . . . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/48816
. . . . . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/48817
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C [2013-01]
H01L 2224/48818
. . . . . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/4882
. . . . . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/48823
. . . . . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/48824
. . . . . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/48838
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/48839
. . . . . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/48844
. . . . . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/48847
. . . . . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/48849
. . . . . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/48855
. . . . . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/48857
. . . . . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/4886
. . . . . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/48863
. . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/48864
. . . . . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/48866
. . . . . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/48869
. . . . . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/4887
. . . . . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/48871
. . . . . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/48872
. . . . . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/48873
. . . . . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/48878
. . . . . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/48879
. . . . . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/4888
. . . . . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/48881
. . . . . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/48883
. . . . . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/48884
. . . . . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/48886
. . . . . . . .
with a principal constituent of the bonding area being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/48887
. . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/48888) [2013-01]
H01L 2224/48888
. . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/4889
. . . . . . . .
with a principal constituent of the bonding area being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/48891
. . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/48893
. . . . . . . .
with a principal constituent of the bonding area being a solid not provided for in groups H01L 2224/488 - H01L 2224/4889, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/48894
. . . . . . . .
with a principal constituent of the bonding area being a liquid not provided for in groups H01L 2224/488 - H01L 2224/4889 [2016-05]
H01L 2224/48898
. . . . . . . .
with a principal constituent of the bonding area being a combination of two or more material regions, i.e. being a hybrid material, e.g. segmented structures, island patterns [2016-08]
H01L 2224/4899
. . . . .
Auxiliary members for wire connectors, e.g. flow-barriers, reinforcing structures, spacers, alignment aids [2013-01]
H01L 2224/48991
. . . . . .
being formed on the semiconductor or solid-state body to be connected [2013-01]
H01L 2224/48992
. . . . . . .
Reinforcing structures [2013-01]
H01L 2224/48993
. . . . . . .
Alignment aids [2013-01]
H01L 2224/48996
. . . . . .
being formed on an item to be connected not being a semiconductor or solid-state body [2013-01]
H01L 2224/48997
. . . . . . .
Reinforcing structures [2013-01]
H01L 2224/48998
. . . . . . .
Alignment aids [2013-01]
H01L 2224/49
. . . .
of a plurality of wire connectors [2013-01]
H01L 2224/4901
. . . . .
Structure [2013-01]
H01L 2224/4903
. . . . . .
Connectors having different sizes, e.g. different diameters [2013-01]
H01L 2224/4905
. . . . .
Shape [2013-01]
H01L 2224/49051
. . . . . .
Connectors having different shapes [2013-01]
H01L 2224/49052
. . . . . . .
Different loop heights [2013-01]
H01L 2224/4909
. . . . . .
Loop shape arrangement [2013-01]
H01L 2224/49095
. . . . . . .
parallel in plane [2013-01]
H01L 2224/49096
. . . . . . . .
horizontal [2013-01]
H01L 2224/49097
. . . . . . . .
vertical [2013-01]
H01L 2224/491
. . . . .
Disposition [2013-01]
H01L 2224/49105
. . . . . .
Connecting at different heights [2013-01]
H01L 2224/49107
. . . . . . .
on the semiconductor or solid-state body [2013-01]
H01L 2224/49109
. . . . . . .
outside the semiconductor or solid-state body [2013-01]
H01L 2224/4911
. . . . . .
the connectors being bonded to at least one common bonding area, e.g. daisy chain [2013-01]
H01L 2224/49111
. . . . . . .
the connectors connecting two common bonding areas, e.g. Litz or braid wires [2013-01]
H01L 2224/49112
. . . . . . .
the connectors connecting a common bonding area on the semiconductor or solid-state body to different bonding areas outside the body, e.g. diverging wires [2013-01]
H01L 2224/49113
. . . . . . .
the connectors connecting different bonding areas on the semiconductor or solid-state body to a common bonding area outside the body, e.g. converging wires [2013-01]
H01L 2224/4912
. . . . . .
Layout [2013-01]
H01L 2224/4917
. . . . . . .
Crossed wires [2013-01]
H01L 2224/49171
. . . . . . .
Fan-out arrangements [2013-01]
H01L 2224/49173
. . . . . . . .
Radial fan-out arrangements [2013-01]
H01L 2224/49174
. . . . . . .
Stacked arrangements [2013-01]
H01L 2224/49175
. . . . . . .
Parallel arrangements [2013-01]
H01L 2224/49176
. . . . . . . .
Wire connectors having the same loop shape and height [2013-01]
H01L 2224/49177
. . . . . . .
Combinations of different arrangements [2013-01]
H01L 2224/49179
. . . . . . . .
Corner adaptations, i.e. disposition of the wire connectors at the corners of the semiconductor or solid-state body [2013-01]
H01L 2224/4918
. . . . . .
being disposed on at least two different sides of the body, e.g. dual array [2013-01]
H01L 2224/494
. . . . .
Connecting portions [2013-01]
H01L 2224/4941
. . . . . .
the connecting portions being stacked [2013-01]
H01L 2224/4942
. . . . . . .
Ball bonds [2013-01]
H01L 2224/49421
. . . . . . . .
on the semiconductor or solid-state body [2013-01]
H01L 2224/49422
. . . . . . . .
outside the semiconductor or solid-state body [2013-01]
H01L 2224/49425
. . . . . . .
Wedge bonds [2013-01]
H01L 2224/49426
. . . . . . . .
on the semiconductor or solid-state body [2013-01]
H01L 2224/49427
. . . . . . . .
outside the semiconductor or solid-state body [2013-01]
H01L 2224/49429
. . . . . . .
Wedge and ball bonds [2013-01]
H01L 2224/4943
. . . . . .
the connecting portions being staggered [2013-01]
H01L 2224/49431
. . . . . . .
on the semiconductor or solid-state body [2013-01]
H01L 2224/49433
. . . . . . .
outside the semiconductor or solid-state body [2013-01]
H01L 2224/4945
. . . . . .
Wire connectors having connecting portions of different types on the semiconductor or solid-state body, e.g. regular and reverse stitches [2013-01]
H01L 2224/495
. . . . .
Material [2013-01]
H01L 2224/49505
. . . . . .
Connectors having different materials [2013-01]
H01L 2224/50
. .
Tape automated bonding [TAB] connectors, i.e. film carriers; Manufacturing methods related thereto [2013-01]
H01L 2224/63
. .
Connectors not provided for in any of the groups H01L 2224/10 - H01L 2224/50 and subgroups; Manufacturing methods related thereto [2016-05]
H01L 2224/64
. . .
Manufacturing methods [2013-01]
H01L 2224/65
. . .
Structure, shape, material or disposition of the connectors prior to the connecting process [2013-01]
H01L 2224/66
. . . .
of an individual connector [2013-01]
H01L 2224/67
. . . .
of a plurality of connectors [2013-01]
H01L 2224/68
. . .
Structure, shape, material or disposition of the connectors after the connecting process [2013-01]
H01L 2224/69
. . . .
of an individual connector [2013-01]
H01L 2224/70
. . . .
of a plurality of connectors [2013-01]
H01L 2224/71
.
Means for bonding not being attached to, or not being formed on, the surface to be connected [2013-01]
H01L 2224/72
. .
Detachable connecting means consisting of mechanical auxiliary parts connecting the device, e.g. pressure contacts using springs or clips [2013-01]
H01L 2224/73
.
Means for bonding being of different types provided for in two or more of groups H01L 2224/10, H01L 2224/18, H01L 2224/26, H01L 2224/34, H01L 2224/42, H01L 2224/50, H01L 2224/63, H01L 2224/71 [2013-01]
H01L 2224/731
. .
Location prior to the connecting process [2013-01]
H01L 2224/73101
. . .
on the same surface [2013-01]
H01L 2224/73103
. . . .
Bump and layer connectors [2013-01]
H01L 2224/73104
. . . . .
the bump connector being embedded into the layer connector [2013-01]
H01L 2224/73151
. . .
on different surfaces [2013-01]
H01L 2224/73153
. . . .
Bump and layer connectors [2013-01]
H01L 2224/732
. .
Location after the connecting process [2013-01]
H01L 2224/73201
. . .
on the same surface [2013-01]
H01L 2224/73203
. . . .
Bump and layer connectors [2013-01]
H01L 2224/73204
. . . . .
the bump connector being embedded into the layer connector [2013-01]
H01L 2224/73205
. . . .
Bump and strap connectors [2013-01]
H01L 2224/73207
. . . .
Bump and wire connectors [2013-01]
H01L 2224/73209
. . . .
Bump and HDI connectors [2013-01]
H01L 2224/73211
. . . .
Bump and TAB connectors [2013-01]
H01L 2224/73213
. . . .
Layer and strap connectors [2013-01]
H01L 2224/73215
. . . .
Layer and wire connectors [2013-01]
H01L 2224/73217
. . . .
Layer and HDI connectors [2013-01]
H01L 2224/73219
. . . .
Layer and TAB connectors [2013-01]
H01L 2224/73221
. . . .
Strap and wire connectors [2013-01]
H01L 2224/73223
. . . .
Strap and HDI connectors [2013-01]
H01L 2224/73225
. . . .
Strap and TAB connectors [2013-01]
H01L 2224/73227
. . . .
Wire and HDI connectors [2013-01]
H01L 2224/73229
. . . .
Wire and TAB connectors [2013-01]
H01L 2224/73231
. . . .
HDI and TAB connectors [2013-01]
H01L 2224/73251
. . .
on different surfaces [2013-01]
H01L 2224/73253
. . . .
Bump and layer connectors [2013-01]
H01L 2224/73255
. . . .
Bump and strap connectors [2013-01]
H01L 2224/73257
. . . .
Bump and wire connectors [2013-01]
H01L 2224/73259
. . . .
Bump and HDI connectors [2013-01]
H01L 2224/73261
. . . .
Bump and TAB connectors [2013-01]
H01L 2224/73263
. . . .
Layer and strap connectors [2013-01]
H01L 2224/73265
. . . .
Layer and wire connectors [2013-01]
H01L 2224/73267
. . . .
Layer and HDI connectors [2013-01]
H01L 2224/73269
. . . .
Layer and TAB connectors [2013-01]
H01L 2224/73271
. . . .
Strap and wire connectors [2013-01]
H01L 2224/73273
. . . .
Strap and HDI connectors [2013-01]
H01L 2224/73275
. . . .
Strap and TAB connectors [2013-01]
H01L 2224/73277
. . . .
Wire and HDI connectors [2013-01]
H01L 2224/73279
. . . .
Wire and TAB connectors [2013-01]
H01L 2224/73281
. . . .
HDI and TAB connectors [2013-01]
H01L 2224/74
.
Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto [2013-01]
H01L 2224/741
. .
Apparatus for manufacturing means for bonding, e.g. connectors [2013-01]
H01L 2224/742
. . .
Apparatus for manufacturing bump connectors [2013-01]
H01L 2224/743
. . .
Apparatus for manufacturing layer connectors [2013-01]
H01L 2224/744
. . .
Apparatus for manufacturing strap connectors [2013-01]
H01L 2224/745
. . .
Apparatus for manufacturing wire connectors [2013-01]
H01L 2224/749
. . .
Tools for reworking, e.g. for shaping [2013-01]
H01L 2224/75
. .
Apparatus for connecting with bump connectors or layer connectors [2013-01]
H01L 2224/75001
. . .
Calibration means [2013-01]
H01L 2224/7501
. . .
Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma [2013-01]
H01L 2224/751
. . .
Means for controlling the bonding environment, e.g. valves, vacuum pumps [2013-01]
H01L 2224/75101
. . . .
Chamber [2013-01]
H01L 2224/75102
. . . . .
Vacuum chamber [2013-01]
H01L 2224/7511
. . . . .
High pressure chamber [2013-01]
H01L 2224/7515
. . .
Means for applying permanent coating, e.g. in-situ coating [2013-01]
H01L 2224/75151
. . . .
Means for direct writing [2013-01]
H01L 2224/75152
. . . . .
Syringe [2013-01]
H01L 2224/75153
. . . . . .
integrated into the bonding head [2013-01]
H01L 2224/75155
. . . . .
Jetting means, e.g. ink jet [2013-01]
H01L 2224/75158
. . . . .
including a laser [2013-01]
H01L 2224/75161
. . . .
Means for screen printing, e.g. roller, squeegee, screen stencil [2013-01]
H01L 2224/7517
. . . .
Means for applying a preform, e.g. laminator [2013-01]
H01L 2224/75171
. . . . .
including a vacuum-bag [2013-01]
H01L 2224/7518
. . . .
Means for blanket deposition [2013-01]
H01L 2224/75181
. . . . .
for spin coating, i.e. spin coater [2013-01]
H01L 2224/75182
. . . . .
for curtain coating [2013-01]
H01L 2224/75183
. . . . .
for immersion coating, i.e. bath [2013-01]
H01L 2224/75184
. . . . .
for spray coating, i.e. nozzle [2013-01]
H01L 2224/75185
. . . . .
Means for physical vapour deposition [PVD], e.g. evaporation, sputtering [2013-01]
H01L 2224/75186
. . . . .
Means for sputtering, e.g. target [2013-01]
H01L 2224/75187
. . . . .
Means for evaporation [2013-01]
H01L 2224/75188
. . . . .
Means for chemical vapour deposition [CVD], e.g. for laser CVD [2013-01]
H01L 2224/75189
. . . . .
Means for plating, e.g. for electroplating, electroless plating [2013-01]
H01L 2224/752
. . .
Protection means against electrical discharge [2013-01]
H01L 2224/7525
. . .
Means for applying energy, e.g. heating means [2013-01]
H01L 2224/75251
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75252
. . . .
in the upper part of the bonding apparatus, e.g. in the bonding head [2013-01]
H01L 2224/75253
. . . .
adapted for localised heating [2013-01]
H01L 2224/7526
. . . .
Polychromatic heating lamp [2013-01]
H01L 2224/75261
. . . .
Laser [2013-01]
H01L 2224/75262
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75263
. . . . .
in the upper part of the bonding apparatus, e.g. in the bonding head [2013-01]
H01L 2224/75264
. . . .
by induction heating, i.e. coils [2013-01]
H01L 2224/75265
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75266
. . . . .
in the upper part of the bonding apparatus, e.g. in the bonding head [2013-01]
H01L 2224/75267
. . . .
Flame torch, e.g. hydrogen torch [2013-01]
H01L 2224/75268
. . . .
Discharge electrode [2013-01]
H01L 2224/75269
. . . . .
Shape of the discharge electrode [2013-01]
H01L 2224/7527
. . . . .
Material of the discharge electrode [2013-01]
H01L 2224/75271
. . . . .
Circuitry of the discharge electrode [2013-01]
H01L 2224/75272
. . . .
Oven [2013-01]
H01L 2224/7528
. . . .
Resistance welding electrodes, i.e. for ohmic heating [2013-01]
H01L 2224/75281
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75282
. . . . .
in the upper part of the bonding apparatus, e.g. in the bonding head [2013-01]
H01L 2224/75283
. . . .
by infrared heating, e.g. infrared heating lamp [2013-01]
H01L 2224/753
. . . .
by means of pressure [2013-01]
H01L 2224/75301
. . . . .
Bonding head [2013-01]
H01L 2224/75302
. . . . . .
Shape [2013-01]
H01L 2224/75303
. . . . . . .
of the pressing surface [2013-01]
H01L 2224/75304
. . . . . . . .
being curved [2013-01]
H01L 2224/75305
. . . . . . . .
comprising protrusions [2013-01]
H01L 2224/7531
. . . . . . .
of other parts [2013-01]
H01L 2224/75312
. . . . . .
Material [2013-01]
H01L 2224/75313
. . . . . .
Removable bonding head [2013-01]
H01L 2224/75314
. . . . . .
Auxiliary members on the pressing surface [2013-01]
H01L 2224/75315
. . . . . . .
Elastomer inlay [2013-01]
H01L 2224/75316
. . . . . . . .
with retaining mechanisms [2013-01]
H01L 2224/75317
. . . . . . .
Removable auxiliary member [2013-01]
H01L 2224/75318
. . . . . . .
Shape of the auxiliary member [2013-01]
H01L 2224/7532
. . . . . . .
Material of the auxiliary member [2013-01]
H01L 2224/75343
. . . . .
by ultrasonic vibrations [2013-01]
H01L 2224/75344
. . . . . .
Eccentric cams [2013-01]
H01L 2224/75345
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75346
. . . . . . .
in the upper part of the bonding apparatus, e.g. in the bonding head [2013-01]
H01L 2224/75347
. . . . . .
Piezoelectric transducers [2013-01]
H01L 2224/75348
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75349
. . . . . . .
in the upper part of the bonding apparatus, e.g. in the bonding head [2013-01]
H01L 2224/7535
. . . . . .
Stable and mobile yokes [2013-01]
H01L 2224/75351
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75352
. . . . . . .
in the upper part of the bonding apparatus, e.g. in the bonding head [2013-01]
H01L 2224/75353
. . . . . .
Ultrasonic horns [2013-01]
H01L 2224/75354
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75355
. . . . . . .
Design, e.g. of the wave guide [2013-01]
H01L 2224/755
. . .
Cooling means [2013-01]
H01L 2224/75501
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75502
. . . .
in the upper part of the bonding apparatus, e.g. in the bonding head [2013-01]
H01L 2224/7555
. . .
Mechanical means, e.g. for planarising, pressing, stamping [2013-01]
H01L 2224/756
. . .
Means for supplying the connector to be connected in the bonding apparatus [2013-01]
H01L 2224/75601
. . . .
Storing means [2013-01]
H01L 2224/75611
. . . .
Feeding means [2013-01]
H01L 2224/75621
. . . .
Holding means [2013-01]
H01L 2224/7565
. . .
Means for transporting the components to be connected [2013-01]
H01L 2224/75651
. . . .
Belt conveyor [2013-01]
H01L 2224/75652
. . . .
Chain conveyor [2013-01]
H01L 2224/75653
. . . .
Vibrating conveyor [2013-01]
H01L 2224/75654
. . . .
Pneumatic conveyor [2013-01]
H01L 2224/75655
. . . .
in a fluid [2013-01]
H01L 2224/757
. . .
Means for aligning [2013-01]
H01L 2224/75701
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75702
. . . .
in the upper part of the bonding apparatus, e.g. in the bonding head [2013-01]
H01L 2224/75703
. . . .
Mechanical holding means [2013-01]
H01L 2224/75704
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75705
. . . . .
in the upper part of the bonding apparatus, e.g. in the bonding head [2013-01]
H01L 2224/75723
. . . .
Electrostatic holding means [2013-01]
H01L 2224/75724
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75725
. . . . .
in the upper part of the bonding apparatus, e.g. in the bonding head [2013-01]
H01L 2224/75733
. . . .
Magnetic holding means [2013-01]
H01L 2224/75734
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75735
. . . . .
in the upper part of the bonding apparatus, e.g. in the bonding head [2013-01]
H01L 2224/75743
. . . .
Suction holding means [2013-01]
H01L 2224/75744
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75745
. . . . .
in the upper part of the bonding apparatus, e.g. in the bonding head [2013-01]
H01L 2224/75753
. . . .
Means for optical alignment, e.g. sensors [2013-01]
H01L 2224/75754
. . . .
Guiding structures [2013-01]
H01L 2224/75755
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/75756
. . . . .
in the upper part of the bonding apparatus, e.g. in the bonding head [2013-01]
H01L 2224/758
. . .
Means for moving parts [2013-01]
H01L 2224/75801
. . . .
Lower part of the bonding apparatus, e.g. XY table [2013-01]
H01L 2224/75802
. . . . .
Rotational mechanism [2013-01]
H01L 2224/75803
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/75804
. . . . .
Translational mechanism [2013-01]
H01L 2224/75821
. . . .
Upper part of the bonding apparatus, i.e. bonding head [2013-01]
H01L 2224/75822
. . . . .
Rotational mechanism [2013-01]
H01L 2224/75823
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/75824
. . . . .
Translational mechanism [2013-01]
H01L 2224/75841
. . . .
of the bonding head [2013-01]
H01L 2224/75842
. . . . .
Rotational mechanism [2013-01]
H01L 2224/75843
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/759
. . .
Means for monitoring the connection process [2013-01]
H01L 2224/75901
. . . .
using a computer, e.g. fully- or semi-automatic bonding [2013-01]
H01L 2224/7592
. . . .
Load or pressure adjusting means, e.g. sensors [2013-01]
H01L 2224/75925
. . . .
Vibration adjusting means, e.g. sensors [2013-01]
H01L 2224/7595
. . .
Means for forming additional members [2013-01]
H01L 2224/7598
. . .
specially adapted for batch processes [2013-01]
H01L 2224/75981
. . .
Apparatus chuck [2013-01]
H01L 2224/75982
. . . .
Shape [2013-01]
H01L 2224/75983
. . . . .
of the mounting surface [2013-01]
H01L 2224/75984
. . . . .
of other portions [2013-01]
H01L 2224/75985
. . . .
Material [2013-01]
H01L 2224/75986
. . . .
Auxiliary members on the pressing surface [2013-01]
H01L 2224/75987
. . . . .
Shape of the auxiliary member [2013-01]
H01L 2224/75988
. . . . .
Material of the auxiliary member [2013-01]
H01L 2224/76
. .
Apparatus for connecting with build-up interconnects [2013-01]
H01L 2224/76001
. . .
Calibration means [2013-01]
H01L 2224/7601
. . .
Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma [2013-01]
H01L 2224/761
. . .
Means for controlling the bonding environment, e.g. valves, vacuum pumps [2013-01]
H01L 2224/76101
. . . .
Chamber [2013-01]
H01L 2224/76102
. . . . .
Vacuum chamber [2013-01]
H01L 2224/7611
. . . . .
High pressure chamber [2013-01]
H01L 2224/7615
. . .
Means for depositing [2013-01]
H01L 2224/76151
. . . .
Means for direct writing [2013-01]
H01L 2224/76152
. . . . .
Syringe [2013-01]
H01L 2224/76155
. . . . .
Jetting means, e.g. ink jet [2013-01]
H01L 2224/76158
. . . . .
including a laser [2013-01]
H01L 2224/76161
. . . .
Means for screen printing, e.g. roller, squeegee, screen stencil [2013-01]
H01L 2224/7617
. . . .
Means for applying a preform, e.g. laminator [2013-01]
H01L 2224/76171
. . . . .
including a vacuum-bag [2013-01]
H01L 2224/7618
. . . .
Means for blanket deposition [2013-01]
H01L 2224/76181
. . . . .
for spin coating, i.e. spin coater [2013-01]
H01L 2224/76182
. . . . .
for curtain coating [2013-01]
H01L 2224/76183
. . . . .
for immersion coating, i.e. bath [2013-01]
H01L 2224/76184
. . . . .
for spray coating, i.e. nozzle [2013-01]
H01L 2224/76185
. . . . .
Means for physical vapour deposition [PVD] [2013-01]
H01L 2224/76186
. . . . . .
Means for sputtering, e.g. target [2013-01]
H01L 2224/76187
. . . . . .
Means for evaporation [2013-01]
H01L 2224/76188
. . . . .
Means for chemical vapour deposition [CVD], e.g. for laser CVD [2013-01]
H01L 2224/76189
. . . . .
Means for plating, e.g. for electroplating, electroless plating [2013-01]
H01L 2224/762
. . .
Protection means against electrical discharge [2013-01]
H01L 2224/7625
. . .
Means for applying energy, e.g. heating means [2013-01]
H01L 2224/76251
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76252
. . . .
in the upper part of the bonding apparatus [2013-01]
H01L 2224/76253
. . . .
adapted for localised heating [2013-01]
H01L 2224/7626
. . . .
Polychromatic heating lamp [2013-01]
H01L 2224/76261
. . . .
Laser [2013-01]
H01L 2224/76262
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76263
. . . . .
in the upper part of the bonding apparatus [2013-01]
H01L 2224/76264
. . . .
by induction heating, i.e. coils [2013-01]
H01L 2224/76265
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76266
. . . . .
in the upper part of the bonding apparatus [2013-01]
H01L 2224/76267
. . . .
Flame torch, e.g. hydrogen torch [2013-01]
H01L 2224/76268
. . . .
Discharge electrode [2013-01]
H01L 2224/76269
. . . . .
Shape of the discharge electrode [2013-01]
H01L 2224/7627
. . . . .
Material of the discharge electrode [2013-01]
H01L 2224/76271
. . . . .
Circuitry of the discharge electrode [2013-01]
H01L 2224/76272
. . . .
Oven [2013-01]
H01L 2224/7628
. . . .
Resistance welding electrodes, i.e. for ohmic heating [2013-01]
H01L 2224/76281
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76282
. . . . .
in the upper part of the bonding apparatus [2013-01]
H01L 2224/76283
. . . .
by infrared heating, e.g. infrared heating lamp [2013-01]
H01L 2224/763
. . . .
by means of pressure [2013-01]
H01L 2224/76301
. . . . .
Pressing head [2013-01]
H01L 2224/76302
. . . . . .
Shape [2013-01]
H01L 2224/76303
. . . . . . .
of the pressing surface [2013-01]
H01L 2224/76304
. . . . . . . .
being curved [2013-01]
H01L 2224/76305
. . . . . . . .
comprising protrusions [2013-01]
H01L 2224/7631
. . . . . . .
of other parts [2013-01]
H01L 2224/76312
. . . . . .
Material [2013-01]
H01L 2224/76313
. . . . . .
Removable pressing head [2013-01]
H01L 2224/76314
. . . . . .
Auxiliary members on the pressing surface [2013-01]
H01L 2224/76315
. . . . . . .
Elastomer inlay [2013-01]
H01L 2224/76316
. . . . . . . .
with retaining mechanisms [2013-01]
H01L 2224/76317
. . . . . . .
Removable auxiliary member [2013-01]
H01L 2224/76318
. . . . . . .
Shape of the auxiliary member [2013-01]
H01L 2224/7632
. . . . . . .
Material of the auxiliary member [2013-01]
H01L 2224/76343
. . . . .
by ultrasonic vibrations [2013-01]
H01L 2224/76344
. . . . . .
Eccentric cams [2013-01]
H01L 2224/76345
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76346
. . . . . . .
in the upper part of the bonding apparatus [2013-01]
H01L 2224/76347
. . . . . .
Piezoelectric transducers [2013-01]
H01L 2224/76348
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76349
. . . . . . .
in the upper part of the bonding apparatus [2013-01]
H01L 2224/7635
. . . . . .
Stable and mobile yokes [2013-01]
H01L 2224/76351
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76352
. . . . . . .
in the upper part of the bonding apparatus [2013-01]
H01L 2224/76353
. . . . . .
Ultrasonic horns [2013-01]
H01L 2224/76354
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76355
. . . . . . .
Design, e.g. of the wave guide [2013-01]
H01L 2224/765
. . .
Cooling means [2013-01]
H01L 2224/76501
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76502
. . . .
in the upper part of the bonding apparatus [2013-01]
H01L 2224/7655
. . .
Mechanical means, e.g. for planarising, pressing, stamping [2013-01]
H01L 2224/76552
. . . .
for drilling [2013-01]
H01L 2224/76554
. . . .
for abrasive blasting, e.g. sand blasting, wet blasting, hydro-blasting, dry ice blasting [2013-01]
H01L 2224/766
. . .
Means for supplying the material of the interconnect [2013-01]
H01L 2224/76601
. . . .
Storing means [2013-01]
H01L 2224/76611
. . . .
Feeding means [2013-01]
H01L 2224/76621
. . . .
Holding means [2013-01]
H01L 2224/7665
. . .
Means for transporting the components to be connected [2013-01]
H01L 2224/76651
. . . .
Belt conveyor [2013-01]
H01L 2224/76652
. . . .
Chain conveyor [2013-01]
H01L 2224/76653
. . . .
Vibrating conveyor [2013-01]
H01L 2224/76654
. . . .
Pneumatic conveyor [2013-01]
H01L 2224/76655
. . . .
in a fluid [2013-01]
H01L 2224/767
. . .
Means for aligning [2013-01]
H01L 2224/76701
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76702
. . . .
in the upper part of the bonding apparatus [2013-01]
H01L 2224/76703
. . . .
Mechanical holding means [2013-01]
H01L 2224/76704
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76705
. . . . .
in the upper part of the bonding apparatus [2013-01]
H01L 2224/76723
. . . .
Electrostatic holding means [2013-01]
H01L 2224/76724
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76725
. . . . .
in the upper part of the bonding apparatus [2013-01]
H01L 2224/76733
. . . .
Magnetic holding means [2013-01]
H01L 2224/76734
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76735
. . . . .
in the upper part of the bonding apparatus [2013-01]
H01L 2224/76743
. . . .
Suction holding means [2013-01]
H01L 2224/76744
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76745
. . . . .
in the upper part of the bonding apparatus [2013-01]
H01L 2224/76753
. . . .
Means for optical alignment, e.g. sensors [2013-01]
H01L 2224/76754
. . . .
Guiding structures [2013-01]
H01L 2224/76755
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/76756
. . . . .
in the upper part of the bonding apparatus [2013-01]
H01L 2224/768
. . .
Means for moving parts [2013-01]
H01L 2224/76801
. . . .
Lower part of the bonding apparatus, e.g. XY table [2013-01]
H01L 2224/76802
. . . . .
Rotational mechanism [2013-01]
H01L 2224/76803
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/76804
. . . . .
Translational mechanism [2013-01]
H01L 2224/76821
. . . .
Upper part of the bonding apparatus, i.e. bonding head [2013-01]
H01L 2224/76822
. . . . .
Rotational mechanism [2013-01]
H01L 2224/76823
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/76824
. . . . .
Translational mechanism [2013-01]
H01L 2224/76841
. . . .
of the bonding head [2013-01]
H01L 2224/76842
. . . . .
Rotational mechanism [2013-01]
H01L 2224/76843
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/769
. . .
Means for monitoring the connection process [2013-01]
H01L 2224/76901
. . . .
using a computer, e.g. fully- or semi-automatic bonding [2013-01]
H01L 2224/7692
. . . .
Load or pressure adjusting means, e.g. sensors [2013-01]
H01L 2224/76925
. . . .
Vibration adjusting means, e.g. sensors [2013-01]
H01L 2224/7695
. . .
Means for forming additional members [2013-01]
H01L 2224/7698
. . .
specially adapted for batch processes [2013-01]
H01L 2224/76981
. . .
Apparatus chuck [2013-01]
H01L 2224/76982
. . . .
Shape [2013-01]
H01L 2224/76983
. . . . .
of the mounting surface [2013-01]
H01L 2224/76984
. . . . .
of other portions [2013-01]
H01L 2224/76985
. . . .
Material [2013-01]
H01L 2224/76986
. . . .
Auxiliary members on the pressing surface [2013-01]
H01L 2224/76987
. . . . .
Shape of the auxiliary member [2013-01]
H01L 2224/76988
. . . . .
Material of the auxiliary member [2013-01]
H01L 2224/77
. .
Apparatus for connecting with strap connectors [2013-01]
H01L 2224/77001
. . .
Calibration means [2013-01]
H01L 2224/7701
. . .
Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma [2013-01]
H01L 2224/771
. . .
Means for controlling the bonding environment, e.g. valves, vacuum pumps [2013-01]
H01L 2224/77101
. . . .
Chamber [2013-01]
H01L 2224/77102
. . . . .
Vacuum chamber [2013-01]
H01L 2224/7711
. . . . .
High pressure chamber [2013-01]
H01L 2224/7715
. . .
Means for applying permanent coating, e.g. in-situ coating [2013-01]
H01L 2224/77151
. . . .
Means for direct writing [2013-01]
H01L 2224/77152
. . . . .
Syringe [2013-01]
H01L 2224/77153
. . . . . .
integrated into the capillary or wedge [2013-01]
H01L 2224/77155
. . . . .
Jetting means, e.g. ink jet [2013-01]
H01L 2224/77158
. . . . .
including a laser [2013-01]
H01L 2224/77161
. . . .
Means for screen printing, e.g. roller, squeegee, screen stencil [2013-01]
H01L 2224/7717
. . . .
Means for applying a preform, e.g. laminator [2013-01]
H01L 2224/77171
. . . . .
including a vacuum-bag [2013-01]
H01L 2224/7718
. . . .
Means for blanket deposition [2013-01]
H01L 2224/77181
. . . . .
for spin coating, i.e. spin coater [2013-01]
H01L 2224/77182
. . . . .
for curtain coating [2013-01]
H01L 2224/77183
. . . . .
for immersion coating, i.e. bath [2013-01]
H01L 2224/77184
. . . . .
for spray coating, i.e. nozzle [2013-01]
H01L 2224/77185
. . . . .
Means for physical vapour deposition [PVD], e.g. evaporation, sputtering [2013-01]
H01L 2224/77186
. . . . .
Means for sputtering, e.g. target [2013-01]
H01L 2224/77187
. . . . .
Means for evaporation [2013-01]
H01L 2224/77188
. . . . .
Means for chemical vapour deposition [CVD], e.g. for laser CVD [2013-01]
H01L 2224/77189
. . . . .
Means for plating, e.g. for electroplating, electroless plating [2013-01]
H01L 2224/772
. . .
Protection means against electrical discharge [2013-01]
H01L 2224/7725
. . .
Means for applying energy, e.g. heating means [2013-01]
H01L 2224/77251
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/77252
. . . .
in the upper part of the bonding apparatus, e.g. in the wedge [2013-01]
H01L 2224/77253
. . . .
adapted for localised heating [2013-01]
H01L 2224/7726
. . . .
Polychromatic heating lamp [2013-01]
H01L 2224/77261
. . . .
Laser [2013-01]
H01L 2224/77262
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/77263
. . . . .
in the upper part of the bonding apparatus, e.g. in the wedge [2013-01]
H01L 2224/77264
. . . .
by induction heating, i.e. coils [2013-01]
H01L 2224/77265
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/77266
. . . . .
in the upper part of the bonding apparatus, e.g. in the wedge [2013-01]
H01L 2224/77267
. . . .
Flame torch, e.g. hydrogen torch [2013-01]
H01L 2224/77268
. . . .
Discharge electrode [2013-01]
H01L 2224/77269
. . . . .
Shape of the discharge electrode [2013-01]
H01L 2224/7727
. . . . .
Material of the discharge electrode [2013-01]
H01L 2224/77271
. . . . .
Circuitry of the discharge electrode [2013-01]
H01L 2224/77272
. . . .
Oven [2013-01]
H01L 2224/7728
. . . .
Resistance welding electrodes, i.e. for ohmic heating [2013-01]
H01L 2224/77281
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/77282
. . . . .
in the upper part of the bonding apparatus, e.g. in the wedge [2013-01]
H01L 2224/77283
. . . .
by infrared heating, e.g. infrared heating lamp [2013-01]
H01L 2224/773
. . . .
by means of pressure [2013-01]
H01L 2224/77313
. . . . .
Wedge [2013-01]
H01L 2224/77314
. . . . . .
Shape [2013-01]
H01L 2224/77315
. . . . . . .
of the pressing surface, e.g. tip or head [2013-01]
H01L 2224/77316
. . . . . . . .
comprising protrusions [2013-01]
H01L 2224/77317
. . . . . . .
of other portions [2013-01]
H01L 2224/77318
. . . . . . . .
inside the capillary [2013-01]
H01L 2224/77319
. . . . . . . .
outside the capillary [2013-01]
H01L 2224/7732
. . . . . .
Removable wedge [2013-01]
H01L 2224/77321
. . . . . .
Material [2013-01]
H01L 2224/77325
. . . . . .
Auxiliary members on the pressing surface [2013-01]
H01L 2224/77326
. . . . . . .
Removable auxiliary member [2013-01]
H01L 2224/77327
. . . . . . .
Shape of the auxiliary member [2013-01]
H01L 2224/77328
. . . . . . .
Material of the auxiliary member [2013-01]
H01L 2224/77343
. . . . .
by ultrasonic vibrations [2013-01]
H01L 2224/77344
. . . . . .
Eccentric cams [2013-01]
H01L 2224/77345
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/77346
. . . . . . .
in the upper part of the bonding apparatus, e.g. in the wedge [2013-01]
H01L 2224/77347
. . . . . .
Piezoelectric transducers [2013-01]
H01L 2224/77348
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/77349
. . . . . . .
in the upper part of the bonding apparatus, e.g. in the wedge [2013-01]
H01L 2224/7735
. . . . . .
Stable and mobile yokes [2013-01]
H01L 2224/77351
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/77352
. . . . . . .
in the upper part of the bonding apparatus, e.g. in the wedge [2013-01]
H01L 2224/77353
. . . . . .
Ultrasonic horns [2013-01]
H01L 2224/77354
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the mounting chuck [2013-01]
H01L 2224/77355
. . . . . . .
Design, e.g. of the wave guide [2013-01]
H01L 2224/775
. . .
Cooling means [2013-01]
H01L 2224/77501
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/77502
. . . .
in the upper part of the bonding apparatus, e.g. in the wedge [2013-01]
H01L 2224/7755
. . .
Mechanical means, e.g. for severing, pressing, stamping [2013-01]
H01L 2224/776
. . .
Means for supplying the connector to be connected in the bonding apparatus [2013-01]
H01L 2224/77601
. . . .
Storing means [2013-01]
H01L 2224/77611
. . . .
Feeding means [2013-01]
H01L 2224/77621
. . . .
Holding means, e.g. wire clampers [2013-01]
H01L 2224/77631
. . . . .
Means for wire tension adjustments [2013-01]
H01L 2224/7765
. . .
Means for transporting the components to be connected [2013-01]
H01L 2224/77651
. . . .
Belt conveyor [2013-01]
H01L 2224/77652
. . . .
Chain conveyor [2013-01]
H01L 2224/77653
. . . .
Vibrating conveyor [2013-01]
H01L 2224/77654
. . . .
Pneumatic conveyor [2013-01]
H01L 2224/77655
. . . .
in a fluid [2013-01]
H01L 2224/777
. . .
Means for aligning [2013-01]
H01L 2224/77701
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/77702
. . . .
in the upper part of the bonding apparatus, e.g. in the wedge [2013-01]
H01L 2224/77703
. . . .
Mechanical holding means [2013-01]
H01L 2224/77704
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/77705
. . . . .
in the upper part of the bonding apparatus, e.g. in the wedge [2013-01]
H01L 2224/77723
. . . .
Electrostatic holding means [2013-01]
H01L 2224/77724
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/77725
. . . . .
in the upper part of the bonding apparatus, e.g. in the wedge [2013-01]
H01L 2224/77733
. . . .
Magnetic holding means [2013-01]
H01L 2224/77734
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/77735
. . . . .
in the upper part of the bonding apparatus, e.g. in the wedge [2013-01]
H01L 2224/77743
. . . .
Suction holding means [2013-01]
H01L 2224/77744
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/77745
. . . . .
in the upper part of the bonding apparatus, e.g. in the wedge [2013-01]
H01L 2224/77753
. . . .
Means for optical alignment, e.g. sensors [2013-01]
H01L 2224/77754
. . . .
Guiding structures [2013-01]
H01L 2224/77755
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/77756
. . . . .
in the upper part of the bonding apparatus, e.g. in the wedge [2013-01]
H01L 2224/778
. . .
Means for moving parts [2013-01]
H01L 2224/77801
. . . .
Lower part of the bonding apparatus, e.g. XY table [2013-01]
H01L 2224/77802
. . . . .
Rotational mechanism [2013-01]
H01L 2224/77803
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/77804
. . . . .
Translational mechanism [2013-01]
H01L 2224/77821
. . . .
Upper part of the bonding apparatus, i.e. bonding head, e.g. capillary or wedge [2013-01]
H01L 2224/77822
. . . . .
Rotational mechanism [2013-01]
H01L 2224/77823
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/77824
. . . . .
Translational mechanism [2013-01]
H01L 2224/77841
. . . .
of the pressing portion, e.g. tip or head [2013-01]
H01L 2224/77842
. . . . .
Rotational mechanism [2013-01]
H01L 2224/77843
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/779
. . .
Means for monitoring the connection process [2013-01]
H01L 2224/77901
. . . .
using a computer, e.g. fully- or semi-automatic bonding [2013-01]
H01L 2224/7792
. . . .
Load or pressure adjusting means, e.g. sensors [2013-01]
H01L 2224/77925
. . . .
Vibration adjusting means, e.g. sensors [2013-01]
H01L 2224/7795
. . .
Means for forming additional members [2013-01]
H01L 2224/7798
. . .
specially adapted for batch processes [2013-01]
H01L 2224/77981
. . .
Apparatus chuck [2013-01]
H01L 2224/77982
. . . .
Shape [2013-01]
H01L 2224/77983
. . . . .
of the mounting surface [2013-01]
H01L 2224/77984
. . . . .
of other portions [2013-01]
H01L 2224/77985
. . . .
Material [2013-01]
H01L 2224/77986
. . . .
Auxiliary members on the pressing surface [2013-01]
H01L 2224/77987
. . . . .
Shape of the auxiliary member [2013-01]
H01L 2224/77988
. . . . .
Material of the auxiliary member [2013-01]
H01L 2224/78
. .
Apparatus for connecting with wire connectors [2013-01]
H01L 2224/78001
. . .
Calibration means [2013-01]
H01L 2224/7801
. . .
Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma [2013-01]
H01L 2224/781
. . .
Means for controlling the bonding environment, e.g. valves, vacuum pumps [2013-01]
H01L 2224/78101
. . . .
Chamber [2013-01]
H01L 2224/78102
. . . . .
Vacuum chamber [2013-01]
H01L 2224/7811
. . . . .
High pressure chamber [2013-01]
H01L 2224/7815
. . .
Means for applying permanent coating, e.g. in-situ coating [2013-01]
H01L 2224/782
. . .
Protection means against electrical discharge [2013-01]
H01L 2224/7825
. . .
Means for applying energy, e.g. heating means [2013-01]
H01L 2224/78251
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/78252
. . . .
in the upper part of the bonding apparatus, e.g. in the capillary or wedge [2013-01]
H01L 2224/78253
. . . .
adapted for localised heating [2013-01]
H01L 2224/7826
. . . .
Polychromatic heating lamp [2013-01]
H01L 2224/78261
. . . .
Laser [2013-01]
H01L 2224/78262
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/78263
. . . . .
in the upper part of the bonding apparatus, e.g. in the capillary or wedge [2013-01]
H01L 2224/78264
. . . .
by induction heating, i.e. coils [2013-01]
H01L 2224/78265
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/78266
. . . . .
in the upper part of the bonding apparatus, e.g. in the capillary or wedge [2013-01]
H01L 2224/78267
. . . .
Flame torch, e.g. hydrogen torch [2013-01]
H01L 2224/78268
. . . .
Discharge electrode [2013-01]
H01L 2224/78269
. . . . .
Shape of the discharge electrode [2013-01]
H01L 2224/7827
. . . . .
Material of the discharge electrode [2013-01]
H01L 2224/78271
. . . . .
Circuitry of the discharge electrode [2013-01]
H01L 2224/78272
. . . .
Oven [2013-01]
H01L 2224/7828
. . . .
Resistance welding electrodes, i.e. for ohmic heating [2013-01]
H01L 2224/78281
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/78282
. . . . .
in the upper part of the bonding apparatus, e.g. in the capillary or wedge [2013-01]
H01L 2224/78283
. . . .
by infrared heating, e.g. infrared heating lamp [2013-01]
H01L 2224/783
. . . .
by means of pressure [2013-01]
H01L 2224/78301
. . . . .
Capillary [2013-01]
H01L 2224/78302
. . . . . .
Shape [2013-01]
H01L 2224/78303
. . . . . . .
of the pressing surface, e.g. tip or head [2013-01]
H01L 2224/78304
. . . . . . . .
comprising protrusions [2013-01]
H01L 2224/78305
. . . . . . .
of other portions [2013-01]
H01L 2224/78306
. . . . . . . .
inside the capillary [2013-01]
H01L 2224/78307
. . . . . . . .
outside the capillary [2013-01]
H01L 2224/78308
. . . . . .
Removable capillary [2013-01]
H01L 2224/78309
. . . . . .
Material [2013-01]
H01L 2224/7831
. . . . . .
Auxiliary members on the pressing surface [2013-01]
H01L 2224/78311
. . . . . . .
Removable auxiliary member [2013-01]
H01L 2224/78312
. . . . . . .
Shape of the auxiliary member [2013-01]
H01L 2224/78313
. . . . .
Wedge [2013-01]
H01L 2224/78314
. . . . . .
Shape [2013-01]
H01L 2224/78315
. . . . . . .
of the pressing surface, e.g. tip or head [2013-01]
H01L 2224/78316
. . . . . . . .
comprising protrusions [2013-01]
H01L 2224/78317
. . . . . . .
of other portions [2013-01]
H01L 2224/78318
. . . . . . . .
inside the capillary [2013-01]
H01L 2224/78319
. . . . . . . .
outside the capillary [2013-01]
H01L 2224/7832
. . . . . .
Removable wedge [2013-01]
H01L 2224/78321
. . . . . .
Material [2013-01]
H01L 2224/78325
. . . . . .
Auxiliary members on the pressing surface [2013-01]
H01L 2224/78326
. . . . . . .
Removable auxiliary member [2013-01]
H01L 2224/78327
. . . . . . .
Shape of the auxiliary member [2013-01]
H01L 2224/78328
. . . . . . .
Material of the auxiliary member [2013-01]
H01L 2224/78343
. . . . .
by ultrasonic vibrations [2013-01]
H01L 2224/78344
. . . . . .
Eccentric cams [2013-01]
H01L 2224/78345
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/78346
. . . . . . .
in the upper part of the bonding apparatus, e.g. in the capillary or wedge [2013-01]
H01L 2224/78347
. . . . . .
Piezoelectric transducers [2013-01]
H01L 2224/78348
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/78349
. . . . . . .
in the upper part of the bonding apparatus, e.g. in the capillary or wedge [2013-01]
H01L 2224/7835
. . . . . .
Stable and mobile yokes [2013-01]
H01L 2224/78351
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/78352
. . . . . . .
in the upper part of the bonding apparatus, e.g. in the capillary or wedge [2013-01]
H01L 2224/78353
. . . . . .
Ultrasonic horns [2013-01]
H01L 2224/78354
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the mounting chuck [2013-01]
H01L 2224/78355
. . . . . . .
Design, e.g. of the wave guide [2013-01]
H01L 2224/785
. . .
Cooling means [2013-01]
H01L 2224/78501
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/78502
. . . .
in the upper part of the bonding apparatus, e.g. in the capillary or wedge [2013-01]
H01L 2224/7855
. . .
Mechanical means, e.g. for severing, pressing, stamping [2013-01]
H01L 2224/786
. . .
Means for supplying the connector to be connected in the bonding apparatus [2013-01]
H01L 2224/78601
. . . .
Storing means [2013-01]
H01L 2224/78611
. . . .
Feeding means [2013-01]
H01L 2224/78621
. . . .
Holding means, e.g. wire clampers [2013-01]
H01L 2224/78631
. . . . .
Means for wire tension adjustments [2013-01]
H01L 2224/7865
. . .
Means for transporting the components to be connected [2013-01]
H01L 2224/78651
. . . .
Belt conveyor [2013-01]
H01L 2224/78652
. . . .
Chain conveyor [2013-01]
H01L 2224/78653
. . . .
Vibrating conveyor [2013-01]
H01L 2224/78654
. . . .
Pneumatic conveyor [2013-01]
H01L 2224/78655
. . . .
in a fluid [2013-01]
H01L 2224/787
. . .
Means for aligning [2013-01]
H01L 2224/78701
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/78702
. . . .
in the upper part of the bonding apparatus, e.g. in the capillary or wedge [2013-01]
H01L 2224/78703
. . . .
Mechanical holding means [2013-01]
H01L 2224/78704
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/78705
. . . . .
in the upper part of the bonding apparatus, e.g. in the capillary or wedge [2013-01]
H01L 2224/78723
. . . .
Electrostatic holding means [2013-01]
H01L 2224/78724
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/78725
. . . . .
in the upper part of the bonding apparatus, e.g. in the capillary or wedge [2013-01]
H01L 2224/78733
. . . .
Magnetic holding means [2013-01]
H01L 2224/78734
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/78735
. . . . .
in the upper part of the bonding apparatus, e.g. in the capillary or wedge [2013-01]
H01L 2224/78743
. . . .
Suction holding means [2013-01]
H01L 2224/78744
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/78745
. . . . .
in the upper part of the bonding apparatus, e.g. in the capillary or wedge [2013-01]
H01L 2224/78753
. . . .
Means for optical alignment, e.g. sensors [2013-01]
H01L 2224/78754
. . . .
Guiding structures [2013-01]
H01L 2224/78755
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/78756
. . . . .
in the upper part of the bonding apparatus, e.g. in the capillary or wedge [2013-01]
H01L 2224/788
. . .
Means for moving parts [2013-01]
H01L 2224/78801
. . . .
Lower part of the bonding apparatus, e.g. XY table [2013-01]
H01L 2224/78802
. . . . .
Rotational mechanism [2013-01]
H01L 2224/78803
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/78804
. . . . .
Translational mechanism [2013-01]
H01L 2224/78821
. . . .
Upper part of the bonding apparatus, i.e. bonding head, e.g. capillary or wedge [2013-01]
H01L 2224/78822
. . . . .
Rotational mechanism [2013-01]
H01L 2224/78823
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/78824
. . . . .
Translational mechanism [2013-01]
H01L 2224/78841
. . . .
of the pressing portion, e.g. tip or head [2013-01]
H01L 2224/78842
. . . . .
Rotational mechanism [2013-01]
H01L 2224/78843
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/789
. . .
Means for monitoring the connection process [2013-01]
H01L 2224/78901
. . . .
using a computer, e.g. fully- or semi-automatic bonding [2013-01]
H01L 2224/7892
. . . .
Load or pressure adjusting means, e.g. sensors [2013-01]
H01L 2224/78925
. . . .
Vibration adjusting means, e.g. sensors [2013-01]
H01L 2224/7895
. . .
Means for forming additional members [2013-01]
H01L 2224/7898
. . .
specially adapted for batch processes [2013-01]
H01L 2224/78981
. . .
Apparatus chuck [2013-01]
H01L 2224/78982
. . . .
Shape [2013-01]
H01L 2224/78983
. . . . .
of the mounting surface [2013-01]
H01L 2224/78984
. . . . .
of other portions [2013-01]
H01L 2224/78985
. . . .
Material [2013-01]
H01L 2224/78986
. . . .
Auxiliary members on the pressing surface [2013-01]
H01L 2224/78987
. . . . .
Shape of the auxiliary member [2013-01]
H01L 2224/78988
. . . . .
Material of the auxiliary member [2013-01]
H01L 2224/79
. .
Apparatus for Tape Automated Bonding [TAB] [2013-01]
H01L 2224/79001
. . .
Calibration means [2013-01]
H01L 2224/7901
. . .
Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma [2013-01]
H01L 2224/791
. . .
Means for controlling the bonding environment, e.g. valves, vacuum pumps [2013-01]
H01L 2224/79101
. . . .
Chamber [2013-01]
H01L 2224/79102
. . . . .
Vacuum chamber [2013-01]
H01L 2224/7911
. . . . .
High pressure chamber [2013-01]
H01L 2224/7915
. . .
Means for applying permanent coating [2013-01]
H01L 2224/79151
. . . .
Means for direct writing [2013-01]
H01L 2224/79152
. . . . .
Syringe [2013-01]
H01L 2224/79153
. . . . . .
integrated into the pressing head [2013-01]
H01L 2224/79155
. . . . .
Jetting means, e.g. ink jet [2013-01]
H01L 2224/79158
. . . . .
including a laser [2013-01]
H01L 2224/79161
. . . .
Means for screen printing, e.g. roller, squeegee, screen stencil [2013-01]
H01L 2224/7917
. . . .
Means for applying a preform, e.g. laminator [2013-01]
H01L 2224/79171
. . . . .
including a vacuum-bag [2013-01]
H01L 2224/7918
. . . .
Means for blanket deposition [2013-01]
H01L 2224/79181
. . . . .
for spin coating, i.e. spin coater [2013-01]
H01L 2224/79182
. . . . .
for curtain coating [2013-01]
H01L 2224/79183
. . . . .
for immersion coating, i.e. bath [2013-01]
H01L 2224/79184
. . . . .
for spray coating, i.e. nozzle [2013-01]
H01L 2224/79185
. . . . .
Means for physical vapour deposition [PVD], e.g. evaporation, sputtering [2013-01]
H01L 2224/79186
. . . . .
Means for sputtering, e.g. target [2013-01]
H01L 2224/79187
. . . . .
Means for evaporation [2013-01]
H01L 2224/79188
. . . . .
Means for chemical vapour deposition [CVD], e.g. for laser CVD [2013-01]
H01L 2224/79189
. . . . .
Means for plating, e.g. for electroplating, electroless plating [2013-01]
H01L 2224/792
. . .
Protection means against electrical discharge [2013-01]
H01L 2224/7925
. . .
Means for applying energy, e.g. heating means [2013-01]
H01L 2224/79251
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79252
. . . .
in the upper part of the bonding apparatus, e.g. in the pressing head [2013-01]
H01L 2224/79253
. . . .
adapted for localised heating [2013-01]
H01L 2224/7926
. . . .
Polychromatic heating lamp [2013-01]
H01L 2224/79261
. . . .
Laser [2013-01]
H01L 2224/79262
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79263
. . . . .
in the upper part of the bonding apparatus, e.g. in the pressing head [2013-01]
H01L 2224/79264
. . . .
by induction heating, i.e. coils [2013-01]
H01L 2224/79265
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79266
. . . . .
in the upper part of the bonding apparatus, e.g. in the pressing head [2013-01]
H01L 2224/79267
. . . .
Flame torch, e.g. hydrogen torch [2013-01]
H01L 2224/79268
. . . .
Discharge electrode [2013-01]
H01L 2224/79269
. . . . .
Shape of the discharge electrode [2013-01]
H01L 2224/7927
. . . . .
Material of the discharge electrode [2013-01]
H01L 2224/79271
. . . . .
Circuitry of the discharge electrode [2013-01]
H01L 2224/79272
. . . .
Oven [2013-01]
H01L 2224/7928
. . . .
Resistance welding electrodes, i.e. for ohmic heating [2013-01]
H01L 2224/79281
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79282
. . . . .
in the upper part of the bonding apparatus, e.g. in the pressing head [2013-01]
H01L 2224/79283
. . . .
by infrared heating, e.g. infrared heating lamp [2013-01]
H01L 2224/793
. . . .
by means of pressure [2013-01]
H01L 2224/79301
. . . . .
Pressing head [2013-01]
H01L 2224/79302
. . . . . .
Shape [2013-01]
H01L 2224/79303
. . . . . . .
of the pressing surface [2013-01]
H01L 2224/79304
. . . . . . . .
being curved [2013-01]
H01L 2224/79305
. . . . . . . .
comprising protrusions [2013-01]
H01L 2224/7931
. . . . . . .
of other parts [2013-01]
H01L 2224/79312
. . . . . .
Material [2013-01]
H01L 2224/79313
. . . . . .
Removable pressing head [2013-01]
H01L 2224/79314
. . . . . .
Auxiliary members on the pressing surface [2013-01]
H01L 2224/79315
. . . . . . .
Elastomer inlay [2013-01]
H01L 2224/79316
. . . . . . . .
with retaining mechanisms [2013-01]
H01L 2224/79317
. . . . . . .
Removable auxiliary member [2013-01]
H01L 2224/79318
. . . . . . .
Shape of the auxiliary member [2013-01]
H01L 2224/7932
. . . . . . .
Material of the auxiliary member [2013-01]
H01L 2224/79343
. . . . .
by ultrasonic vibrations [2013-01]
H01L 2224/79344
. . . . . .
Eccentric cams [2013-01]
H01L 2224/79345
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79346
. . . . . . .
in the upper part of the bonding apparatus, e.g. in the pressing head [2013-01]
H01L 2224/79347
. . . . . .
Piezoelectric transducers [2013-01]
H01L 2224/79348
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79349
. . . . . . .
in the upper part of the bonding apparatus, e.g. in the pressing head [2013-01]
H01L 2224/7935
. . . . . .
Stable and mobile yokes [2013-01]
H01L 2224/79351
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79352
. . . . . . .
in the upper part of the bonding apparatus, e.g. in the pressing head [2013-01]
H01L 2224/79353
. . . . . .
Ultrasonic horns [2013-01]
H01L 2224/79354
. . . . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79355
. . . . . . .
Design, e.g. of the wave guide [2013-01]
H01L 2224/795
. . .
Cooling means [2013-01]
H01L 2224/79501
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79502
. . . .
in the upper part of the bonding apparatus, e.g. in the pressing head [2013-01]
H01L 2224/7955
. . .
Mechanical means, e.g. for pressing, stamping [2013-01]
H01L 2224/796
. . .
Means for supplying the connector to be connected in the bonding apparatus [2013-01]
H01L 2224/79601
. . . .
Storing means [2013-01]
H01L 2224/79611
. . . .
Feeding means [2013-01]
H01L 2224/79621
. . . .
Holding means [2013-01]
H01L 2224/7965
. . .
Means for transporting the components to be connected [2013-01]
H01L 2224/79651
. . . .
Belt conveyor [2013-01]
H01L 2224/79652
. . . .
Chain conveyor [2013-01]
H01L 2224/79653
. . . .
Vibrating conveyor [2013-01]
H01L 2224/79654
. . . .
Pneumatic conveyor [2013-01]
H01L 2224/79655
. . . .
in a fluid [2013-01]
H01L 2224/797
. . .
Means for aligning [2013-01]
H01L 2224/79701
. . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79702
. . . .
in the upper part of the bonding apparatus, e.g. in the pressing head [2013-01]
H01L 2224/79703
. . . .
Mechanical holding means [2013-01]
H01L 2224/79704
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79705
. . . . .
in the upper part of the bonding apparatus, e.g. in the pressing head [2013-01]
H01L 2224/79723
. . . .
Electrostatic holding means [2013-01]
H01L 2224/79724
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79725
. . . . .
in the upper part of the bonding apparatus, e.g. in the pressing head [2013-01]
H01L 2224/79733
. . . .
Magnetic holding means [2013-01]
H01L 2224/79734
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79735
. . . . .
in the upper part of the bonding apparatus, e.g. in the pressing head [2013-01]
H01L 2224/79743
. . . .
Suction holding means [2013-01]
H01L 2224/79744
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79745
. . . . .
in the upper part of the bonding apparatus, e.g. in the pressing head [2013-01]
H01L 2224/79753
. . . .
Means for optical alignment, e.g. sensors [2013-01]
H01L 2224/79754
. . . .
Guiding structures [2013-01]
H01L 2224/79755
. . . . .
in the lower part of the bonding apparatus, e.g. in the apparatus chuck [2013-01]
H01L 2224/79756
. . . . .
in the upper part of the bonding apparatus, e.g. in the pressing head [2013-01]
H01L 2224/798
. . .
Means for moving parts [2013-01]
H01L 2224/79801
. . . .
Lower part of the bonding apparatus, e.g. XY table [2013-01]
H01L 2224/79802
. . . . .
Rotational mechanism [2013-01]
H01L 2224/79803
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/79804
. . . . .
Translational mechanism [2013-01]
H01L 2224/79821
. . . .
Upper part of the bonding apparatus, i.e. pressing head [2013-01]
H01L 2224/79822
. . . . .
Rotational mechanism [2013-01]
H01L 2224/79823
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/79824
. . . . .
Translational mechanism [2013-01]
H01L 2224/79841
. . . .
of the pressing head [2013-01]
H01L 2224/79842
. . . . .
Rotational mechanism [2013-01]
H01L 2224/79843
. . . . . .
Pivoting mechanism [2013-01]
H01L 2224/799
. . .
Means for monitoring the connection process [2013-01]
H01L 2224/79901
. . . .
using a computer, e.g. fully- or semi-automatic bonding [2013-01]
H01L 2224/7992
. . . .
Load or pressure adjusting means, e.g. sensors [2013-01]
H01L 2224/79925
. . . .
Vibration adjusting means, e.g. sensors [2013-01]
H01L 2224/7995
. . .
Means for forming additional members [2013-01]
H01L 2224/7998
. . .
specially adapted for batch processes [2013-01]
H01L 2224/79981
. . .
Apparatus chuck [2013-01]
H01L 2224/79982
. . . .
Shape [2013-01]
H01L 2224/79983
. . . . .
of the mounting surface [2013-01]
H01L 2224/79984
. . . . .
of other portions [2013-01]
H01L 2224/79985
. . . .
Material [2013-01]
H01L 2224/79986
. . . .
Auxiliary members on the pressing surface [2013-01]
H01L 2224/79987
. . . . .
Shape of the auxiliary member [2013-01]
H01L 2224/79988
. . . . .
Material of the auxiliary member [2013-01]
H01L 2224/7999
. .
for disconnecting [2018-01]
H01L 2224/80
.
Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected [2013-01]
H01L 2224/80001
. .
by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding [2013-01]
H01L 2224/80003
. . .
involving a temporary auxiliary member not forming part of the bonding apparatus [2013-01]
H01L 2224/80004
. . . .
being a removable or sacrificial coating [2013-01]
H01L 2224/80006
. . . .
being a temporary or sacrificial substrate [2013-01]
H01L 2224/80007
. . .
involving a permanent auxiliary member being left in the finished device, e.g. aids for protecting the bonding area during or after the bonding process [2016-08]
H01L 2224/80009
. . .
Pre-treatment of the bonding area [2013-01]
H01L 2224/8001
. . . .
Cleaning the bonding area, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/80011
. . . . .
Chemical cleaning, e.g. etching, flux [2013-01]
H01L 2224/80012
. . . . .
Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow [2013-01]
H01L 2224/80013
. . . . .
Plasma cleaning [2013-01]
H01L 2224/80014
. . . . .
Thermal cleaning, e.g. decomposition, sublimation [2013-01]
H01L 2224/80019
. . . . .
Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8001 - H01L 2224/80014 [2016-05]
H01L 2224/8002
. . . .
Applying permanent coating to the bonding area in the bonding apparatus, e.g. in-situ coating [2013-01]
H01L 2224/80024
. . . .
Applying flux to the bonding area in the bonding apparatus [2013-01]
H01L 2224/8003
. . . .
Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area [2013-01]
H01L 2224/80031
. . . . .
by chemical means, e.g. etching, anodisation [2013-01]
H01L 2224/80035
. . . . .
by heating means [2013-01]
H01L 2224/80037
. . . . . .
using a polychromatic heating lamp [2013-01]
H01L 2224/80039
. . . . . .
using a laser [2013-01]
H01L 2224/80041
. . . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/80047
. . . . .
by mechanical means, e.g. severing, pressing, stamping [2013-01]
H01L 2224/80048
. . . .
Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling [2013-01]
H01L 2224/80051
. . . .
Forming additional members [2013-01]
H01L 2224/80052
. . .
Detaching bonding areas, e.g. after testing (unsoldering in general B23K 1/018) [2013-01]
H01L 2224/80053
. . .
Bonding environment [2013-01]
H01L 2224/80054
. . . .
Composition of the atmosphere [2013-01]
H01L 2224/80055
. . . . .
being oxidating [2013-01]
H01L 2224/80065
. . . . .
being reducing [2013-01]
H01L 2224/80075
. . . . .
being inert [2013-01]
H01L 2224/80085
. . . .
being a liquid, e.g. for fluidic self-assembly [2013-01]
H01L 2224/8009
. . . .
Vacuum [2013-01]
H01L 2224/80091
. . . .
Under pressure [2013-01]
H01L 2224/80092
. . . . .
Atmospheric pressure [2013-01]
H01L 2224/80093
. . . . .
Transient conditions, e.g. gas-flow [2013-01]
H01L 2224/80095
. . . .
Temperature settings [2013-01]
H01L 2224/80096
. . . . .
Transient conditions [2013-01]
H01L 2224/80097
. . . . . .
Heating [2013-01]
H01L 2224/80098
. . . . . .
Cooling [2013-01]
H01L 2224/80099
. . . . .
Ambient temperature [2013-01]
H01L 2224/8011
. . .
involving protection against electrical discharge, e.g. removing electrostatic charge [2013-01]
H01L 2224/8012
. . .
Aligning [2013-01]
H01L 2224/80121
. . . .
Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors [2013-01]
H01L 2224/80122
. . . . .
by detecting inherent features of, or outside, the semiconductor or solid-state body [2013-01]
H01L 2224/80123
. . . . . .
Shape or position of the body [2013-01]
H01L 2224/80125
. . . . . .
Bonding areas on the body [2013-01]
H01L 2224/80127
. . . . . .
Bonding areas outside the body [2013-01]
H01L 2224/80129
. . . . . .
Shape or position of the other item [2013-01]
H01L 2224/8013
. . . . .
using marks formed on the semiconductor or solid-state body [2013-01]
H01L 2224/80132
. . . . .
using marks formed outside the semiconductor or solid-state body, i.e. "off-chip" [2017-08]
H01L 2224/80136
. . . .
involving guiding structures, e.g. spacers or supporting members [2013-01]
H01L 2224/80138
. . . . .
the guiding structures being at least partially left in the finished device [2013-01]
H01L 2224/80139
. . . . . .
Guiding structures on the body [2013-01]
H01L 2224/8014
. . . . . .
Guiding structures outside the body [2013-01]
H01L 2224/80141
. . . . . .
Guiding structures both on and outside the body [2013-01]
H01L 2224/80143
. . . .
Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium [2013-01]
H01L 2224/80148
. . . .
involving movement of a part of the bonding apparatus [2013-01]
H01L 2224/80149
. . . . .
being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table [2013-01]
H01L 2224/8015
. . . . . .
Rotational movements [2013-01]
H01L 2224/8016
. . . . . .
Translational movements [2013-01]
H01L 2224/80169
. . . . .
being the upper part of the bonding apparatus, i.e. bonding head [2013-01]
H01L 2224/8017
. . . . . .
Rotational movements [2013-01]
H01L 2224/8018
. . . . . .
Translational movements [2013-01]
H01L 2224/8019
. . .
Arrangement of the bonding areas prior to mounting [2013-01]
H01L 2224/80194
. . . .
Lateral distribution of the bonding areas [2013-01]
H01L 2224/802
. . .
Applying energy for connecting [2013-01]
H01L 2224/80201
. . . .
Compression bonding [2013-01]
H01L 2224/80203
. . . . .
Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding [2013-01]
H01L 2224/80204
. . . . . .
with a graded temperature profile [2013-01]
H01L 2224/80205
. . . . .
Ultrasonic bonding [2013-01]
H01L 2224/80206
. . . . . .
Direction of oscillation [2013-01]
H01L 2224/80207
. . . . . .
Thermosonic bonding [2013-01]
H01L 2224/80209
. . . . .
applying unidirectional static pressure [2013-01]
H01L 2224/80211
. . . . .
applying isostatic pressure, e.g. degassing using vacuum or a pressurised liquid [2013-01]
H01L 2224/80213
. . . .
using a reflow oven [2013-01]
H01L 2224/80215
. . . . .
with a graded temperature profile [2013-01]
H01L 2224/8022
. . . .
with energy being in the form of electromagnetic radiation [2013-01]
H01L 2224/80222
. . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/80224
. . . . .
using a laser [2013-01]
H01L 2224/8023
. . . . .
Polychromatic or infrared lamp heating [2013-01]
H01L 2224/80232
. . . .
using an autocatalytic reaction, e.g. exothermic brazing [2013-01]
H01L 2224/80234
. . . .
using means for applying energy being within the device, e.g. integrated heater [2013-01]
H01L 2224/80236
. . . .
using electro-static corona discharge [2013-01]
H01L 2224/80237
. . . .
using an electron beam (electron beam welding in general B23K 15/00) [2013-01]
H01L 2224/80238
. . . .
using electric resistance welding, i.e. ohmic heating [2013-01]
H01L 2224/8034
. . .
Bonding interfaces of the bonding area [2013-01]
H01L 2224/80345
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/80355
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/80357
. . . .
being flush with the surface [2013-01]
H01L 2224/80359
. . . .
Material [2013-01]
H01L 2224/8036
. . .
Bonding interfaces of the semiconductor or solid state body [2013-01]
H01L 2224/80365
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/80375
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/80379
. . . .
Material (material of the bonding area prior to the connecting process H01L 2224/05099 and H01L 2224/05599) [2013-01]
H01L 2224/8038
. . .
Bonding interfaces outside the semiconductor or solid-state body [2013-01]
H01L 2224/80385
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/80395
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/80399
. . . .
Material [2013-01]
H01L 2224/804
. . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/80401
. . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/80405
. . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/80409
. . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/80411
. . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/80413
. . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/80414
. . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/80416
. . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/80417
. . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/80418
. . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8042
. . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/80423
. . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/80424
. . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/80438
. . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/80439
. . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/80444
. . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/80447
. . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/80449
. . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/80455
. . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/80457
. . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8046
. . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/80463
. . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/80464
. . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/80466
. . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/80469
. . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8047
. . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/80471
. . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/80472
. . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/80473
. . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/80476
. . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/80478
. . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/80479
. . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8048
. . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/80481
. . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/80483
. . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/80484
. . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/80486
. . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/80487
. . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/80488) [2013-01]
H01L 2224/80488
. . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8049
. . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/80491
. . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/80493
. . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/804 - H01L 2224/80491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/80494
. . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/804 - H01L 2224/80491 [2016-05]
H01L 2224/80495
. . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/804 - H01L 2224/80491 [2016-05]
H01L 2224/80498
. . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/80499
. . . . . .
Material of the matrix [2013-01]
H01L 2224/805
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/80501
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/80505
. . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/80509
. . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/80511
. . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/80513
. . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/80514
. . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/80516
. . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/80517
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/80518
. . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8052
. . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/80523
. . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/80524
. . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/80538
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/80539
. . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/80544
. . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/80547
. . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/80549
. . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/80555
. . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/80557
. . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8056
. . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/80563
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/80564
. . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/80566
. . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/80569
. . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8057
. . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/80571
. . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/80572
. . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/80573
. . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/80576
. . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/80578
. . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/80579
. . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8058
. . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/80581
. . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/80583
. . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/80584
. . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/80586
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/80587
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/80588) [2013-01]
H01L 2224/80588
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8059
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/80591
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/80593
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/805 - H01L 2224/80591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/80594
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/805 - H01L 2224/80591 [2016-05]
H01L 2224/80595
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/805 - H01L 2224/80591 [2016-05]
H01L 2224/80598
. . . . . .
Fillers [2013-01]
H01L 2224/80599
. . . . . . .
Base material [2013-01]
H01L 2224/806
. . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/80601
. . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/80605
. . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/80609
. . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/80611
. . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/80613
. . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/80614
. . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/80616
. . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/80617
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/80618
. . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8062
. . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/80623
. . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/80624
. . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/80638
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/80639
. . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/80644
. . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/80647
. . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/80649
. . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/80655
. . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/80657
. . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8066
. . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/80663
. . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/80664
. . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/80666
. . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/80669
. . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8067
. . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/80671
. . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/80672
. . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/80673
. . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/80676
. . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/80678
. . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/80679
. . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8068
. . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/80681
. . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/80683
. . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/80684
. . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/80686
. . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/80687
. . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/80688) [2013-01]
H01L 2224/80688
. . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8069
. . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/80691
. . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/80693
. . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/806 - H01L 2224/80691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/80694
. . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/806 - H01L 2224/80691 [2016-05]
H01L 2224/80695
. . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/806 - H01L 2224/80691 [2016-05]
H01L 2224/80698
. . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/80699
. . . . . . .
Coating material [2013-01]
H01L 2224/807
. . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/80701
. . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/80705
. . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/80709
. . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/80711
. . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/80713
. . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/80714
. . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/80716
. . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/80717
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/80718
. . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8072
. . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/80723
. . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/80724
. . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/80738
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/80739
. . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/80744
. . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/80747
. . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/80749
. . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/80755
. . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/80757
. . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8076
. . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/80763
. . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/80764
. . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/80766
. . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/80769
. . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8077
. . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/80771
. . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/80772
. . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/80773
. . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/80776
. . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/80778
. . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/80779
. . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8078
. . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/80781
. . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/80783
. . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/80784
. . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/80786
. . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/80787
. . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/80788) [2013-01]
H01L 2224/80788
. . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8079
. . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/80791
. . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/80793
. . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/807 - H01L 2224/80791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/80794
. . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/807 - H01L 2224/80791 [2016-05]
H01L 2224/80795
. . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/807 - H01L 2224/80791 [2016-05]
H01L 2224/80798
. . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/80799
. . . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/808
. . .
Bonding techniques [2013-01]
H01L 2224/80801
. . . .
Soldering or alloying [2013-01]
H01L 2224/80805
. . . . .
involving forming a eutectic alloy at the bonding interface [2013-01]
H01L 2224/8081
. . . . .
involving forming an intermetallic compound at the bonding interface [2013-01]
H01L 2224/80815
. . . . .
Reflow soldering [2013-01]
H01L 2224/8082
. . . . .
Diffusion bonding [2013-01]
H01L 2224/80825
. . . . . .
Solid-liquid interdiffusion [2013-01]
H01L 2224/8083
. . . . . .
Solid-solid interdiffusion [2013-01]
H01L 2224/8084
. . . .
Sintering [2013-01]
H01L 2224/8085
. . . .
using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester [2013-01]
H01L 2224/80855
. . . . .
Hardening the adhesive by curing, i.e. thermosetting [2013-01]
H01L 2224/80856
. . . . . .
Pre-cured adhesive, i.e. B-stage adhesive [2013-01]
H01L 2224/80859
. . . . . .
Localised curing of parts of the bonding area [2013-01]
H01L 2224/80862
. . . . . .
Heat curing [2013-01]
H01L 2224/80865
. . . . . .
Microwave curing [2013-01]
H01L 2224/80868
. . . . . .
Infrared [IR] curing [2013-01]
H01L 2224/80871
. . . . . .
Visible light curing [2013-01]
H01L 2224/80874
. . . . . .
Ultraviolet [UV] curing [2013-01]
H01L 2224/80877
. . . . . .
Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes [2013-01]
H01L 2224/8088
. . . . .
Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives [2013-01]
H01L 2224/80885
. . . . .
Combinations of two or more hardening methods provided for in at least two different groups from H01L 2224/80855 - H01L 2224/8088, e.g. for hybrid thermoplastic-thermosetting adhesives [2016-05]
H01L 2224/8089
. . . .
using an inorganic non metallic glass type adhesive, e.g. solder glass [2013-01]
H01L 2224/80893
. . . .
Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond [2013-01]
H01L 2224/80894
. . . .
Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces [2013-01]
H01L 2224/80895
. . . . .
between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding [2013-01]
H01L 2224/80896
. . . . .
between electrically insulating surfaces, e.g. oxide or nitride layers [2013-01]
H01L 2224/80897
. . . .
Mechanical interlocking, e.g. anchoring, hook and loop-type fastening or the like [2013-01]
H01L 2224/80898
. . . . .
Press-fitting, i.e. pushing the parts together and fastening by friction, e.g. by compression of one part against the other [2013-01]
H01L 2224/80899
. . . . . .
using resilient parts in the bonding area [2013-01]
H01L 2224/809
. . .
with the bonding area not providing any mechanical bonding [2013-01]
H01L 2224/80901
. . . .
Pressing a bonding area against another bonding area by means of a further bonding area or connector (detachable pressure contact H01L 2224/72) [2015-07]
H01L 2224/80902
. . . . .
by means of a further bonding area [2013-01]
H01L 2224/80903
. . . . .
by means of a bump or layer connector [2013-01]
H01L 2224/80904
. . . . .
by means of an encapsulation layer or foil [2013-01]
H01L 2224/80905
. . .
Combinations of bonding methods provided for in at least two different groups from H01L 2224/808 - H01L 2224/80904 [2016-05]
H01L 2224/80906
. . . .
Specific sequence of method steps [2013-01]
H01L 2224/80907
. . . .
Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step [2013-01]
H01L 2224/80908
. . .
involving monitoring, e.g. feedback loop [2013-01]
H01L 2224/80909
. . .
Post-treatment of the bonding area [2013-01]
H01L 2224/8091
. . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/80911
. . . . .
Chemical cleaning, e.g. etching, flux [2013-01]
H01L 2224/80912
. . . . .
Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow [2013-01]
H01L 2224/80913
. . . . .
Plasma cleaning [2013-01]
H01L 2224/80914
. . . . .
Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge [2013-01]
H01L 2224/80919
. . . . .
Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8091 - H01L 2224/80914 [2016-05]
H01L 2224/8092
. . . .
Applying permanent coating, e.g. protective coating [2013-01]
H01L 2224/8093
. . . .
Reshaping [2013-01]
H01L 2224/80931
. . . . .
by chemical means, e.g. etching [2013-01]
H01L 2224/80935
. . . . .
by heating means, e.g. reflowing [2013-01]
H01L 2224/80937
. . . . . .
using a polychromatic heating lamp [2013-01]
H01L 2224/80939
. . . . . .
using a laser [2013-01]
H01L 2224/80941
. . . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/80943
. . . . . .
using a flame torch, e.g. hydrogen torch [2013-01]
H01L 2224/80945
. . . . . .
using a corona discharge, e.g. electronic flame off [EFO] [2013-01]
H01L 2224/80947
. . . . .
by mechanical means, e.g. pull-and-cut, pressing, stamping [2022-02]
H01L 2224/80948
. . . .
Thermal treatments, e.g. annealing, controlled cooling [2013-01]
H01L 2224/80951
. . . .
Forming additional members, e.g. for reinforcing [2013-01]
H01L 2224/80986
. . .
Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence [2013-01]
H01L 2224/81
. .
using a bump connector [2013-01]
H01L 2224/81001
. . .
involving a temporary auxiliary member not forming part of the bonding apparatus [2013-01]
H01L 2224/81002
. . . .
being a removable or sacrificial coating [2013-01]
H01L 2224/81005
. . . .
being a temporary or sacrificial substrate [2013-01]
H01L 2224/81007
. . .
involving a permanent auxiliary member being left in the finished device, e.g. aids for holding or protecting the bump connector during or after the bonding process [2016-08]
H01L 2224/81009
. . .
Pre-treatment of the bump connector or the bonding area [2013-01]
H01L 2224/8101
. . . .
Cleaning the bump connector, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/81011
. . . . .
Chemical cleaning, e.g. etching, flux [2013-01]
H01L 2224/81012
. . . . .
Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow [2013-01]
H01L 2224/81013
. . . . .
Plasma cleaning [2013-01]
H01L 2224/81014
. . . . .
Thermal cleaning, e.g. decomposition, sublimation [2013-01]
H01L 2224/81019
. . . . .
Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8101 - H01L 2224/81014 [2016-05]
H01L 2224/8102
. . . .
Applying permanent coating to the bump connector in the bonding apparatus, e.g. in-situ coating [2013-01]
H01L 2224/81022
. . . .
Cleaning the bonding area, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/81024
. . . .
Applying flux to the bonding area [2013-01]
H01L 2224/81026
. . . .
Applying a precursor material to the bonding area [2013-01]
H01L 2224/8103
. . . .
Reshaping the bump connector in the bonding apparatus, e.g. flattening the bump connector [2013-01]
H01L 2224/81031
. . . . .
by chemical means, e.g. etching, anodisation [2013-01]
H01L 2224/81035
. . . . .
by heating means [2013-01]
H01L 2224/81037
. . . . . .
using a polychromatic heating lamp [2013-01]
H01L 2224/81039
. . . . . .
using a laser [2013-01]
H01L 2224/81041
. . . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/81047
. . . . .
by mechanical means, e.g. severing, pressing, stamping [2013-01]
H01L 2224/81048
. . . .
Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling [2013-01]
H01L 2224/81051
. . . .
Forming additional members [2013-01]
H01L 2224/81052
. . .
Detaching bump connectors, e.g. after testing (unsoldering in general B23K 1/018) [2013-01]
H01L 2224/81053
. . .
Bonding environment [2013-01]
H01L 2224/81054
. . . .
Composition of the atmosphere [2013-01]
H01L 2224/81055
. . . . .
being oxidating [2013-01]
H01L 2224/81065
. . . . .
being reducing [2013-01]
H01L 2224/81075
. . . . .
being inert [2013-01]
H01L 2224/81085
. . . .
being a liquid, e.g. for fluidic self-assembly [2013-01]
H01L 2224/8109
. . . .
Vacuum [2013-01]
H01L 2224/81091
. . . .
Under pressure [2013-01]
H01L 2224/81092
. . . . .
Atmospheric pressure [2013-01]
H01L 2224/81093
. . . . .
Transient conditions, e.g. gas-flow [2013-01]
H01L 2224/81095
. . . .
Temperature settings [2013-01]
H01L 2224/81096
. . . . .
Transient conditions [2013-01]
H01L 2224/81097
. . . . . .
Heating [2013-01]
H01L 2224/81098
. . . . . .
Cooling [2013-01]
H01L 2224/81099
. . . . .
Ambient temperature [2013-01]
H01L 2224/811
. . .
the bump connector being supplied to the parts to be connected in the bonding apparatus [2013-01]
H01L 2224/81101
. . . .
as prepeg comprising a bump connector, e.g. provided in an insulating plate member [2013-01]
H01L 2224/8111
. . .
involving protection against electrical discharge, e.g. removing electrostatic charge [2013-01]
H01L 2224/8112
. . .
Aligning [2013-01]
H01L 2224/81121
. . . .
Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors [2013-01]
H01L 2224/81122
. . . . .
by detecting inherent features of, or outside, the semiconductor or solid-state body [2013-01]
H01L 2224/81123
. . . . . .
Shape or position of the body [2013-01]
H01L 2224/81125
. . . . . .
Bonding areas on the body [2013-01]
H01L 2224/81127
. . . . . .
Bonding areas outside the body [2013-01]
H01L 2224/81129
. . . . . .
Shape or position of the other item [2013-01]
H01L 2224/8113
. . . . .
using marks formed on the semiconductor or solid-state body [2013-01]
H01L 2224/81132
. . . . .
using marks formed outside the semiconductor or solid-state body, i.e. "off-chip" [2017-08]
H01L 2224/81136
. . . .
involving guiding structures, e.g. spacers or supporting members [2013-01]
H01L 2224/81138
. . . . .
the guiding structures being at least partially left in the finished device [2013-01]
H01L 2224/81139
. . . . . .
Guiding structures on the body [2013-01]
H01L 2224/8114
. . . . . .
Guiding structures outside the body [2013-01]
H01L 2224/81141
. . . . . .
Guiding structures both on and outside the body [2013-01]
H01L 2224/81143
. . . .
Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium [2013-01]
H01L 2224/81148
. . . .
involving movement of a part of the bonding apparatus [2013-01]
H01L 2224/81149
. . . . .
being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table [2013-01]
H01L 2224/8115
. . . . . .
Rotational movements [2013-01]
H01L 2224/8116
. . . . . .
Translational movements [2013-01]
H01L 2224/81169
. . . . .
being the upper part of the bonding apparatus, i.e. bonding head [2013-01]
H01L 2224/8117
. . . . . .
Rotational movements [2013-01]
H01L 2224/8118
. . . . . .
Translational movements [2013-01]
H01L 2224/8119
. . .
Arrangement of the bump connectors prior to mounting [2013-01]
H01L 2224/81191
. . . .
wherein the bump connectors are disposed only on the semiconductor or solid-state body [2013-01]
H01L 2224/81192
. . . .
wherein the bump connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body [2013-01]
H01L 2224/81193
. . . .
wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body [2013-01]
H01L 2224/81194
. . . .
Lateral distribution of the bump connectors [2013-01]
H01L 2224/812
. . .
Applying energy for connecting [2013-01]
H01L 2224/81201
. . . .
Compression bonding [2013-01]
H01L 2224/81203
. . . . .
Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding [2013-01]
H01L 2224/81204
. . . . . .
with a graded temperature profile [2013-01]
H01L 2224/81205
. . . . .
Ultrasonic bonding [2013-01]
H01L 2224/81206
. . . . . .
Direction of oscillation [2013-01]
H01L 2224/81207
. . . . . .
Thermosonic bonding [2013-01]
H01L 2224/81208
. . . . .
applying unidirectional static pressure [2013-01]
H01L 2224/81209
. . . . .
applying isostatic pressure, e.g. degassing using vacuum or a pressurised liquid [2013-01]
H01L 2224/8121
. . . .
using a reflow oven [2013-01]
H01L 2224/81211
. . . . .
with a graded temperature profile [2013-01]
H01L 2224/8122
. . . .
with energy being in the form of electromagnetic radiation [2013-01]
H01L 2224/81222
. . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/81224
. . . . .
using a laser [2013-01]
H01L 2224/8123
. . . . .
Polychromatic or infrared lamp heating [2013-01]
H01L 2224/81232
. . . .
using an autocatalytic reaction, e.g. exothermic brazing [2013-01]
H01L 2224/81234
. . . .
using means for applying energy being within the device, e.g. integrated heater [2013-01]
H01L 2224/81236
. . . .
using electro-static corona discharge [2013-01]
H01L 2224/81237
. . . .
using an electron beam (electron beam welding in general B23K 15/00) [2013-01]
H01L 2224/81238
. . . .
using electric resistance welding, i.e. ohmic heating [2013-01]
H01L 2224/8134
. . .
Bonding interfaces of the bump connector [2013-01]
H01L 2224/81345
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/81355
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/81359
. . . .
Material [2013-01]
H01L 2224/8136
. . .
Bonding interfaces of the semiconductor or solid state body [2013-01]
H01L 2224/81365
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/81375
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/81379
. . . .
Material (material of the bump connector prior to the connecting process H01L 2224/13099 and H01L 2224/13599, and subgroups) [2013-01]
H01L 2224/8138
. . .
Bonding interfaces outside the semiconductor or solid-state body [2013-01]
H01L 2224/81385
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/81395
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/81399
. . . .
Material [2013-01]
H01L 2224/814
. . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/81401
. . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/81405
. . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/81409
. . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/81411
. . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/81413
. . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/81414
. . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/81416
. . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/81417
. . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/81418
. . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8142
. . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/81423
. . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/81424
. . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/81438
. . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/81439
. . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/81444
. . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/81447
. . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/81449
. . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/81455
. . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/81457
. . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8146
. . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/81463
. . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/81464
. . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/81466
. . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/81469
. . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8147
. . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/81471
. . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/81472
. . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/81473
. . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/81476
. . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/81478
. . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/81479
. . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8148
. . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/81481
. . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/81483
. . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/81484
. . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/81486
. . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/81487
. . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/81488) [2013-01]
H01L 2224/81488
. . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8149
. . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/81491
. . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/81493
. . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/814 - H01L 2224/81491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/81494
. . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/814 - H01L 2224/81491 [2016-05]
H01L 2224/81495
. . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/814 - H01L 2224/81491 [2016-05]
H01L 2224/81498
. . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/81499
. . . . . .
Material of the matrix [2013-01]
H01L 2224/815
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/81501
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/81505
. . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/81509
. . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/81511
. . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/81513
. . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/81514
. . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/81516
. . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/81517
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/81518
. . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8152
. . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/81523
. . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/81524
. . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/81538
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/81539
. . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/81544
. . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/81547
. . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/81549
. . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/81555
. . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/81557
. . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8156
. . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/81563
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/81564
. . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/81566
. . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/81569
. . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8157
. . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/81571
. . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/81572
. . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/81573
. . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/81576
. . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/81578
. . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/81579
. . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8158
. . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/81581
. . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/81583
. . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/81584
. . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/81586
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/81587
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/81588) [2013-01]
H01L 2224/81588
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8159
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/81591
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/81593
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/815 - H01L 2224/81591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/81594
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/815 - H01L 2224/81591 [2016-05]
H01L 2224/81595
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/815 - H01L 2224/81591 [2016-05]
H01L 2224/81598
. . . . . .
Fillers [2013-01]
H01L 2224/81599
. . . . . . .
Base material [2013-01]
H01L 2224/816
. . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/81601
. . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/81605
. . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/81609
. . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/81611
. . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/81613
. . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/81614
. . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/81616
. . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/81617
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/81618
. . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8162
. . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/81623
. . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/81624
. . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/81638
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/81639
. . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/81644
. . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/81647
. . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/81649
. . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/81655
. . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/81657
. . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8166
. . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/81663
. . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/81664
. . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/81666
. . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/81669
. . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8167
. . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/81671
. . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/81672
. . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/81673
. . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/81676
. . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/81678
. . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/81679
. . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8168
. . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/81681
. . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/81683
. . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/81684
. . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/81686
. . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/81687
. . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/81688) [2013-01]
H01L 2224/81688
. . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8169
. . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/81691
. . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/81693
. . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/816 - H01L 2224/81691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/81694
. . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/816 - H01L 2224/81691 [2016-05]
H01L 2224/81695
. . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/816 - H01L 2224/81691 [2016-05]
H01L 2224/81698
. . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/81699
. . . . . . .
Coating material [2013-01]
H01L 2224/817
. . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/81701
. . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/81705
. . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/81709
. . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/81711
. . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/81713
. . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/81714
. . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/81716
. . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/81717
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/81718
. . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8172
. . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/81723
. . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/81724
. . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/81738
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/81739
. . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/81744
. . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/81747
. . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/81749
. . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/81755
. . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/81757
. . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8176
. . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/81763
. . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/81764
. . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/81766
. . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/81769
. . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8177
. . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/81771
. . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/81772
. . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/81773
. . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/81776
. . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/81778
. . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/81779
. . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8178
. . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/81781
. . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/81783
. . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/81784
. . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/81786
. . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/81787
. . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/81788) [2013-01]
H01L 2224/81788
. . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8179
. . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/81791
. . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/81793
. . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/817 - H01L 2224/81791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/81794
. . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/817 - H01L 2224/81791 [2016-05]
H01L 2224/81795
. . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/817 - H01L 2224/81791 [2016-05]
H01L 2224/81798
. . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/81799
. . . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/818
. . .
Bonding techniques [2013-01]
H01L 2224/81801
. . . .
Soldering or alloying [2013-01]
H01L 2224/81805
. . . . .
involving forming a eutectic alloy at the bonding interface [2013-01]
H01L 2224/8181
. . . . .
involving forming an intermetallic compound at the bonding interface [2013-01]
H01L 2224/81815
. . . . .
Reflow soldering [2013-01]
H01L 2224/8182
. . . . .
Diffusion bonding [2013-01]
H01L 2224/81825
. . . . . .
Solid-liquid interdiffusion [2013-01]
H01L 2224/8183
. . . . . .
Solid-solid interdiffusion [2013-01]
H01L 2224/8184
. . . .
Sintering [2013-01]
H01L 2224/8185
. . . .
using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester [2013-01]
H01L 2224/81855
. . . . .
Hardening the adhesive by curing, i.e. thermosetting [2013-01]
H01L 2224/81856
. . . . . .
Pre-cured adhesive, i.e. B-stage adhesive [2013-01]
H01L 2224/81859
. . . . . .
Localised curing of parts of the bump connector [2013-01]
H01L 2224/81862
. . . . . .
Heat curing [2013-01]
H01L 2224/81865
. . . . . .
Microwave curing [2013-01]
H01L 2224/81868
. . . . . .
Infrared [IR] curing [2013-01]
H01L 2224/81871
. . . . . .
Visible light curing [2013-01]
H01L 2224/81874
. . . . . .
Ultraviolet [UV] curing [2013-01]
H01L 2224/81877
. . . . . .
Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes [2013-01]
H01L 2224/8188
. . . . .
Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives [2013-01]
H01L 2224/81885
. . . . .
Combinations of two or more hardening methods provided for in at least two different groups from H01L 2224/81855 - H01L 2224/8188, e.g. for hybrid thermoplastic-thermosetting adhesives [2016-05]
H01L 2224/8189
. . . .
using an inorganic non metallic glass type adhesive, e.g. solder glass [2013-01]
H01L 2224/81893
. . . .
Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond [2013-01]
H01L 2224/81894
. . . .
Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces [2013-01]
H01L 2224/81895
. . . . .
between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding [2013-01]
H01L 2224/81896
. . . . .
between electrically insulating surfaces, e.g. oxide or nitride layers [2013-01]
H01L 2224/81897
. . . .
Mechanical interlocking, e.g. anchoring, hook and loop-type fastening or the like [2013-01]
H01L 2224/81898
. . . . .
Press-fitting, i.e. pushing the parts together and fastening by friction, e.g. by compression of one part against the other [2013-01]
H01L 2224/81899
. . . . . .
using resilient parts in the bump connector or in the bonding area [2013-01]
H01L 2224/819
. . .
with the bump connector not providing any mechanical bonding [2013-01]
H01L 2224/81901
. . . .
Pressing the bump connector against the bonding areas by means of another connector (detachable pressure contact H01L 2224/72) [2015-07]
H01L 2224/81902
. . . . .
by means of another bump connector [2013-01]
H01L 2224/81903
. . . . .
by means of a layer connector [2013-01]
H01L 2224/81904
. . . . .
by means of an encapsulation layer or foil [2013-01]
H01L 2224/81905
. . .
Combinations of bonding methods provided for in at least two different groups from H01L 2224/818 - H01L 2224/81904 [2016-05]
H01L 2224/81906
. . . .
Specific sequence of method steps [2013-01]
H01L 2224/81907
. . . .
Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step [2013-01]
H01L 2224/81908
. . .
involving monitoring, e.g. feedback loop [2013-01]
H01L 2224/81909
. . .
Post-treatment of the bump connector or bonding area [2013-01]
H01L 2224/8191
. . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/81911
. . . . .
Chemical cleaning, e.g. etching, flux [2013-01]
H01L 2224/81912
. . . . .
Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow [2013-01]
H01L 2224/81913
. . . . .
Plasma cleaning [2013-01]
H01L 2224/81914
. . . . .
Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge [2013-01]
H01L 2224/81919
. . . . .
Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8191 - H01L 2224/81914 [2016-05]
H01L 2224/8192
. . . .
Applying permanent coating, e.g. protective coating [2013-01]
H01L 2224/8193
. . . .
Reshaping [2013-01]
H01L 2224/81931
. . . . .
by chemical means, e.g. etching [2013-01]
H01L 2224/81935
. . . . .
by heating means, e.g. reflowing [2013-01]
H01L 2224/81937
. . . . . .
using a polychromatic heating lamp [2013-01]
H01L 2224/81939
. . . . . .
using a laser [2013-01]
H01L 2224/81941
. . . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/81943
. . . . . .
using a flame torch, e.g. hydrogen torch [2013-01]
H01L 2224/81945
. . . . . .
using a corona discharge, e.g. electronic flame off [EFO] [2013-01]
H01L 2224/81947
. . . . .
by mechanical means, e.g. "pull-and-cut", pressing, stamping [2017-08]
H01L 2224/81948
. . . .
Thermal treatments, e.g. annealing, controlled cooling [2013-01]
H01L 2224/81951
. . . .
Forming additional members, e.g. for reinforcing [2013-01]
H01L 2224/81986
. . .
Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence [2013-01]
H01L 2224/82
. .
by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI] [2013-01]
H01L 2224/82001
. . .
involving a temporary auxiliary member not forming part of the bonding apparatus [2013-01]
H01L 2224/82002
. . . .
being a removable or sacrificial coating [2013-01]
H01L 2224/82005
. . . .
being a temporary or sacrificial substrate [2013-01]
H01L 2224/82007
. . .
involving a permanent auxiliary member being left in the finished device, e.g. aids for holding or protecting a build-up interconnect during or after the bonding process [2016-08]
H01L 2224/82009
. . .
Pre-treatment of the connector or the bonding area [2013-01]
H01L 2224/8201
. . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/8203
. . . .
Reshaping, e.g. forming vias [2013-01]
H01L 2224/82031
. . . . .
by chemical means, e.g. etching, anodisation [2013-01]
H01L 2224/82035
. . . . .
by heating means [2013-01]
H01L 2224/82039
. . . . . .
using a laser [2013-01]
H01L 2224/82045
. . . . . .
using a corona discharge, e.g. electronic flame off [EFO] [2013-01]
H01L 2224/82047
. . . . .
by mechanical means, e.g. severing, pressing, stamping [2013-01]
H01L 2224/82048
. . . .
Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling [2013-01]
H01L 2224/82051
. . . .
Forming additional members [2013-01]
H01L 2224/82053
. . .
Bonding environment [2013-01]
H01L 2224/82054
. . . .
Composition of the atmosphere [2013-01]
H01L 2224/82085
. . . .
being a liquid, e.g. for fluidic self-assembly [2013-01]
H01L 2224/8209
. . . .
Vacuum [2013-01]
H01L 2224/82091
. . . .
Under pressure [2013-01]
H01L 2224/82095
. . . .
Temperature settings [2013-01]
H01L 2224/82096
. . . . .
Transient conditions [2013-01]
H01L 2224/82097
. . . . . .
Heating [2013-01]
H01L 2224/82098
. . . . . .
Cooling [2013-01]
H01L 2224/82099
. . . . .
Ambient temperature [2013-01]
H01L 2224/821
. . .
Forming a build-up interconnect [2013-01]
H01L 2224/82101
. . . .
by additive methods, e.g. direct writing [2013-01]
H01L 2224/82102
. . . . .
using jetting, e.g. ink jet [2013-01]
H01L 2224/82103
. . . . .
using laser direct writing [2013-01]
H01L 2224/82104
. . . . .
using screen printing [2013-01]
H01L 2224/82105
. . . . .
by using a preform [2013-01]
H01L 2224/82106
. . . .
by subtractive methods [2015-10]
H01L 2224/82108
. . . .
by self-assembly processes [2013-01]
H01L 2224/8211
. . .
involving protection against electrical discharge, e.g. removing electrostatic charge [2013-01]
H01L 2224/8212
. . .
Aligning [2013-01]
H01L 2224/82121
. . . .
Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors [2013-01]
H01L 2224/82122
. . . . .
by detecting inherent features of, or outside, the semiconductor or solid-state body [2013-01]
H01L 2224/8213
. . . . .
using marks formed on the semiconductor or solid-state body [2013-01]
H01L 2224/82132
. . . . .
using marks formed outside the semiconductor or solid-state body, i.e. "off-chip" [2013-01]
H01L 2224/82136
. . . .
involving guiding structures, e.g. spacers or supporting members [2013-01]
H01L 2224/82138
. . . . .
the guiding structures being at least partially left in the finished device [2013-01]
H01L 2224/82143
. . . .
Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium [2013-01]
H01L 2224/82148
. . . .
involving movement of a part of the bonding apparatus [2013-01]
H01L 2224/82149
. . . . .
being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table [2013-01]
H01L 2224/8215
. . . . . .
Rotational movements [2013-01]
H01L 2224/8216
. . . . . .
Translational movements [2013-01]
H01L 2224/82169
. . . . .
being the upper part of the bonding apparatus, e.g. nozzle [2013-01]
H01L 2224/8217
. . . . . .
Rotational movement [2013-01]
H01L 2224/8218
. . . . . .
Translational movements [2013-01]
H01L 2224/82181
. . . . . . .
connecting first on the semiconductor or solid-state body, i.e. on-chip, [2013-01]
H01L 2224/82186
. . . . . . .
connecting first outside the semiconductor or solid-state body, i.e. off-chip [2013-01]
H01L 2224/82191
. . . . . . .
connecting first both on and outside the semiconductor or solid-state body [2013-01]
H01L 2224/822
. . .
Applying energy for connecting [2013-01]
H01L 2224/82201
. . . .
Compression bonding [2013-01]
H01L 2224/82203
. . . . .
Thermocompression bonding [2013-01]
H01L 2224/82205
. . . . .
Ultrasonic bonding [2013-01]
H01L 2224/82207
. . . . . .
Thermosonic bonding [2013-01]
H01L 2224/8221
. . . .
with energy being in the form of electromagnetic radiation [2013-01]
H01L 2224/82212
. . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/82214
. . . . .
using a laser [2013-01]
H01L 2224/8223
. . . . .
Polychromatic or infrared lamp heating [2013-01]
H01L 2224/82232
. . . .
using an autocatalytic reaction, e.g. exothermic brazing [2013-01]
H01L 2224/82234
. . . .
using means for applying energy being within the device, e.g. integrated heater [2013-01]
H01L 2224/82236
. . . .
using electro-static corona discharge [2013-01]
H01L 2224/82237
. . . .
using electron beam, (electron beam in general B23K 15/00) [2015-11]
H01L 2224/82238
. . . .
using electric resistance welding, i.e. ohmic heating [2013-01]
H01L 2224/8234
. . .
Bonding interfaces of the connector [2013-01]
H01L 2224/82345
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/82355
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/82359
. . . .
Material [2013-01]
H01L 2224/8236
. . .
Bonding interfaces of the semiconductor or solid state body [2013-01]
H01L 2224/82365
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/82375
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/82379
. . . .
Material [2013-01]
H01L 2224/8238
. . .
Bonding interfaces outside the semiconductor or solid-state body [2013-01]
H01L 2224/82385
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/82395
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/82399
. . . .
Material [2013-01]
H01L 2224/828
. . .
Bonding techniques [2013-01]
H01L 2224/82801
. . . .
Soldering or alloying [2013-01]
H01L 2224/82805
. . . . .
involving forming a eutectic alloy at the bonding interface [2013-01]
H01L 2224/8281
. . . . .
involving forming an intermetallic compound at the bonding interface [2013-01]
H01L 2224/82815
. . . . .
Reflow soldering [2013-01]
H01L 2224/8282
. . . . .
Diffusion bonding [2013-01]
H01L 2224/82825
. . . . . .
Solid-liquid interdiffusion [2013-01]
H01L 2224/8283
. . . . . .
Solid-solid interdiffusion [2013-01]
H01L 2224/8284
. . . .
Sintering [2013-01]
H01L 2224/8285
. . . .
using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester [2013-01]
H01L 2224/82855
. . . . .
Hardening the adhesive by curing, i.e. thermosetting [2013-01]
H01L 2224/82856
. . . . . .
Pre-cured adhesive, i.e. B-stage adhesive [2013-01]
H01L 2224/82859
. . . . . .
Localised curing of parts of the connector [2013-01]
H01L 2224/82862
. . . . . .
Heat curing [2013-01]
H01L 2224/82865
. . . . . .
Microwave curing [2013-01]
H01L 2224/82868
. . . . . .
Infrared [IR] curing [2013-01]
H01L 2224/82871
. . . . . .
Visible light curing [2013-01]
H01L 2224/82874
. . . . . .
Ultraviolet [UV] curing [2013-01]
H01L 2224/82877
. . . . . .
Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes [2013-01]
H01L 2224/8288
. . . . .
Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives [2013-01]
H01L 2224/82885
. . . . .
Combinations of two or more hardening methods provided for in at least two different groups from H01L 2224/82855 - H01L 2224/8288, e.g. for hybrid thermoplastic-thermosetting adhesives [2016-05]
H01L 2224/8289
. . . .
using an inorganic non metallic glass type adhesive, e.g. solder glass [2013-01]
H01L 2224/82893
. . . .
Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond [2013-01]
H01L 2224/82895
. . . .
Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces [2013-01]
H01L 2224/82896
. . . . .
between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding [2013-01]
H01L 2224/82897
. . . . .
between electrically insulating surfaces, e.g. oxide or nitride layers [2013-01]
H01L 2224/82899
. . . .
Combinations of bonding methods provided for in at least two different groups from H01L 2224/828 - H01L 2224/82897 [2016-05]
H01L 2224/829
. . .
involving monitoring, e.g. feedback loop [2013-01]
H01L 2224/82909
. . .
Post-treatment of the connector or the bonding area [2013-01]
H01L 2224/8291
. . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/8293
. . . .
Reshaping [2013-01]
H01L 2224/82931
. . . . .
by chemical means, e.g. etching, anodisation [2013-01]
H01L 2224/82935
. . . . .
by heating means [2013-01]
H01L 2224/82939
. . . . . .
using a laser [2013-01]
H01L 2224/82945
. . . . . .
using a corona discharge, e.g. electronic flame off [EFO] [2013-01]
H01L 2224/82947
. . . . .
by mechanical means, e.g. severing, pressing, stamping [2013-01]
H01L 2224/82948
. . . .
Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling [2013-01]
H01L 2224/82951
. . . .
Forming additional members [2013-01]
H01L 2224/82986
. . .
Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence [2013-01]
H01L 2224/83
. .
using a layer connector [2013-01]
H01L 2224/83001
. . .
involving a temporary auxiliary member not forming part of the bonding apparatus [2013-01]
H01L 2224/83002
. . . .
being a removable or sacrificial coating [2013-01]
H01L 2224/83005
. . . .
being a temporary or sacrificial substrate [2013-01]
H01L 2224/83007
. . .
involving a permanent auxiliary member being left in the finished device, e.g. aids for holding or protecting the layer connector during or after the bonding process [2016-08]
H01L 2224/83009
. . .
Pre-treatment of the layer connector or the bonding area [2013-01]
H01L 2224/8301
. . . .
Cleaning the layer connector, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/83011
. . . . .
Chemical cleaning, e.g. etching, flux [2013-01]
H01L 2224/83012
. . . . .
Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow [2013-01]
H01L 2224/83013
. . . . .
Plasma cleaning [2013-01]
H01L 2224/83014
. . . . .
Thermal cleaning, e.g. decomposition, sublimation [2013-01]
H01L 2224/83019
. . . . .
Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8301 - H01L 2224/83014 [2016-05]
H01L 2224/8302
. . . .
Applying permanent coating to the layer connector in the bonding apparatus, e.g. in-situ coating [2013-01]
H01L 2224/83022
. . . .
Cleaning the bonding area, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/83024
. . . .
Applying flux to the bonding area [2013-01]
H01L 2224/83026
. . . .
Applying a precursor material to the bonding area [2013-01]
H01L 2224/8303
. . . .
Reshaping the layer connector in the bonding apparatus, e.g. flattening the layer connector [2013-01]
H01L 2224/83031
. . . . .
by chemical means, e.g. etching, anodisation [2013-01]
H01L 2224/83035
. . . . .
by heating means [2013-01]
H01L 2224/83037
. . . . . .
using a polychromatic heating lamp [2013-01]
H01L 2224/83039
. . . . . .
using a laser [2013-01]
H01L 2224/83041
. . . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/83047
. . . . .
by mechanical means, e.g. severing, pressing, stamping [2013-01]
H01L 2224/83048
. . . .
Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling [2013-01]
H01L 2224/83051
. . . .
Forming additional members, e.g. dam structures [2013-01]
H01L 2224/83052
. . .
Detaching layer connectors, e.g. after testing (unsoldering in general B23K 1/018) [2013-01]
H01L 2224/83053
. . .
Bonding environment [2013-01]
H01L 2224/83054
. . . .
Composition of the atmosphere [2013-01]
H01L 2224/83055
. . . . .
being oxidating [2013-01]
H01L 2224/83065
. . . . .
being reducing [2013-01]
H01L 2224/83075
. . . . .
being inert [2013-01]
H01L 2224/83085
. . . .
being a liquid, e.g. for fluidic self-assembly [2013-01]
H01L 2224/8309
. . . .
Vacuum [2013-01]
H01L 2224/83091
. . . .
Under pressure [2013-01]
H01L 2224/83092
. . . . .
Atmospheric pressure [2013-01]
H01L 2224/83093
. . . . .
Transient conditions, e.g. gas-flow [2013-01]
H01L 2224/83095
. . . .
Temperature settings [2013-01]
H01L 2224/83096
. . . . .
Transient conditions [2013-01]
H01L 2224/83097
. . . . . .
Heating [2013-01]
H01L 2224/83098
. . . . . .
Cooling [2013-01]
H01L 2224/83099
. . . . .
Ambient temperature [2013-01]
H01L 2224/831
. . .
the layer connector being supplied to the parts to be connected in the bonding apparatus [2013-01]
H01L 2224/83101
. . . .
as prepeg comprising a layer connector, e.g. provided in an insulating plate member [2013-01]
H01L 2224/83102
. . . .
using surface energy, e.g. capillary forces [2013-01]
H01L 2224/83104
. . . .
by applying pressure, e.g. by injection [2013-01]
H01L 2224/8311
. . .
involving protection against electrical discharge, e.g. removing electrostatic charge [2013-01]
H01L 2224/8312
. . .
Aligning [2013-01]
H01L 2224/83121
. . . .
Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors [2013-01]
H01L 2224/83122
. . . . .
by detecting inherent features of, or outside, the semiconductor or solid-state body [2013-01]
H01L 2224/83123
. . . . . .
Shape or position of the body [2013-01]
H01L 2224/83125
. . . . . .
Bonding areas on the body [2013-01]
H01L 2224/83127
. . . . . .
Bonding areas outside the body [2013-01]
H01L 2224/83129
. . . . . .
Shape or position of the other item [2013-01]
H01L 2224/8313
. . . . .
using marks formed on the semiconductor or solid-state body [2013-01]
H01L 2224/83132
. . . . .
using marks formed outside the semiconductor or solid-state body, i.e. "off-chip" [2017-08]
H01L 2224/83136
. . . .
involving guiding structures, e.g. spacers or supporting members [2013-01]
H01L 2224/83138
. . . . .
the guiding structures being at least partially left in the finished device [2013-01]
H01L 2224/83139
. . . . . .
Guiding structures on the body [2013-01]
H01L 2224/8314
. . . . . .
Guiding structures outside the body [2013-01]
H01L 2224/83141
. . . . . .
Guiding structures both on and outside the body [2013-01]
H01L 2224/83143
. . . .
Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium [2013-01]
H01L 2224/83148
. . . .
involving movement of a part of the bonding apparatus [2013-01]
H01L 2224/83149
. . . . .
being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table [2013-01]
H01L 2224/8315
. . . . . .
Rotational movements [2013-01]
H01L 2224/8316
. . . . . .
Translational movements [2013-01]
H01L 2224/83169
. . . . .
being the upper part of the bonding apparatus, i.e. bonding head [2013-01]
H01L 2224/8317
. . . . . .
Rotational movements [2013-01]
H01L 2224/8318
. . . . . .
Translational movements [2013-01]
H01L 2224/8319
. . .
Arrangement of the layer connectors prior to mounting [2013-01]
H01L 2224/83191
. . . .
wherein the layer connectors are disposed only on the semiconductor or solid-state body [2013-01]
H01L 2224/83192
. . . .
wherein the layer connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body [2013-01]
H01L 2224/83193
. . . .
wherein the layer connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body [2013-01]
H01L 2224/83194
. . . .
Lateral distribution of the layer connectors [2013-01]
H01L 2224/832
. . .
Applying energy for connecting [2013-01]
H01L 2224/83201
. . . .
Compression bonding [2013-01]
H01L 2224/83203
. . . . .
Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding [2013-01]
H01L 2224/83204
. . . . . .
with a graded temperature profile [2013-01]
H01L 2224/83205
. . . . .
Ultrasonic bonding [2013-01]
H01L 2224/83206
. . . . . .
Direction of oscillation [2013-01]
H01L 2224/83207
. . . . . .
Thermosonic bonding [2013-01]
H01L 2224/83208
. . . . .
applying unidirectional static pressure [2013-01]
H01L 2224/83209
. . . . .
applying isostatic pressure, e.g. degassing using vacuum or a pressurised liquid [2013-01]
H01L 2224/8321
. . . .
using a reflow oven [2013-01]
H01L 2224/83211
. . . . .
with a graded temperature profile [2013-01]
H01L 2224/8322
. . . .
with energy being in the form of electromagnetic radiation [2013-01]
H01L 2224/83222
. . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/83224
. . . . .
using a laser [2013-01]
H01L 2224/8323
. . . . .
Polychromatic or infrared lamp heating [2013-01]
H01L 2224/83232
. . . .
using an autocatalytic reaction, e.g. exothermic brazing [2013-01]
H01L 2224/83234
. . . .
using means for applying energy being within the device, e.g. integrated heater [2013-01]
H01L 2224/83236
. . . .
using electro-static corona discharge [2013-01]
H01L 2224/83237
. . . .
using an electron beam (electron beam welding in general B23K 15/00) [2013-01]
H01L 2224/83238
. . . .
using electric resistance welding, i.e. ohmic heating [2013-01]
H01L 2224/8334
. . .
Bonding interfaces of the layer connector [2013-01]
H01L 2224/83345
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/83355
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/83359
. . . .
Material [2013-01]
H01L 2224/8336
. . .
Bonding interfaces of the semiconductor or solid state body [2013-01]
H01L 2224/83365
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/83375
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/83379
. . . .
Material (material of the layer connector prior to the connecting process H01L 2224/29099 and H01L 2224/29599, and subgroups) [2013-01]
H01L 2224/8338
. . .
Bonding interfaces outside the semiconductor or solid-state body [2013-01]
H01L 2224/83385
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/83395
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/83399
. . . .
Material [2013-01]
H01L 2224/834
. . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/83401
. . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/83405
. . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/83409
. . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/83411
. . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/83413
. . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/83414
. . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/83416
. . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/83417
. . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/83418
. . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8342
. . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/83423
. . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/83424
. . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/83438
. . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/83439
. . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/83444
. . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/83447
. . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/83449
. . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/83455
. . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/83457
. . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8346
. . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/83463
. . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/83464
. . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/83466
. . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/83469
. . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8347
. . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/83471
. . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/83472
. . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/83473
. . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/83476
. . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/83478
. . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/83479
. . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8348
. . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/83481
. . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/83483
. . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/83484
. . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/83486
. . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/83487
. . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/83488) [2013-01]
H01L 2224/83488
. . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8349
. . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/83491
. . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/83493
. . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/834 - H01L 2224/83491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/83494
. . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/834 - H01L 2224/83491 [2016-05]
H01L 2224/83495
. . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/834 - H01L 2224/83491 [2016-05]
H01L 2224/83498
. . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/83499
. . . . . .
Material of the matrix [2013-01]
H01L 2224/835
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/83501
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/83505
. . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/83509
. . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/83511
. . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/83513
. . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/83514
. . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/83516
. . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/83517
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/83518
. . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8352
. . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/83523
. . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/83524
. . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/83538
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/83539
. . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/83544
. . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/83547
. . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/83549
. . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/83555
. . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/83557
. . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8356
. . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/83563
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/83564
. . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/83566
. . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/83569
. . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8357
. . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/83571
. . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/83572
. . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/83573
. . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/83576
. . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/83578
. . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/83579
. . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8358
. . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/83581
. . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/83583
. . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/83584
. . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/83586
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/83587
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/83588) [2013-01]
H01L 2224/83588
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8359
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/83591
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/83593
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/835 - H01L 2224/83591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/83594
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/835 - H01L 2224/83591 [2016-05]
H01L 2224/83595
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/835 - H01L 2224/83591 [2016-05]
H01L 2224/83598
. . . . . .
Fillers [2013-01]
H01L 2224/83599
. . . . . . .
Base material [2013-01]
H01L 2224/836
. . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/83601
. . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/83605
. . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/83609
. . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/83611
. . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/83613
. . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/83614
. . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/83616
. . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/83617
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/83618
. . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8362
. . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/83623
. . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/83624
. . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/83638
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/83639
. . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/83644
. . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/83647
. . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/83649
. . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/83655
. . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/83657
. . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8366
. . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/83663
. . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/83664
. . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/83666
. . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/83669
. . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8367
. . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/83671
. . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/83672
. . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/83673
. . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/83676
. . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/83678
. . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/83679
. . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8368
. . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/83681
. . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/83683
. . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/83684
. . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/83686
. . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/83687
. . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/83688) [2013-01]
H01L 2224/83688
. . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8369
. . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/83691
. . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/83693
. . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/836 - H01L 2224/83691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/83694
. . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/836 - H01L 2224/83691 [2016-05]
H01L 2224/83695
. . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/836 - H01L 2224/83691 [2016-05]
H01L 2224/83698
. . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/83699
. . . . . . .
Coating material [2013-01]
H01L 2224/837
. . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/83701
. . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/83705
. . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/83709
. . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/83711
. . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/83713
. . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/83714
. . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/83716
. . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/83717
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/83718
. . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8372
. . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/83723
. . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/83724
. . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/83738
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/83739
. . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/83744
. . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/83747
. . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/83749
. . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/83755
. . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/83757
. . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8376
. . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/83763
. . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/83764
. . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/83766
. . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/83769
. . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8377
. . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/83771
. . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/83772
. . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/83773
. . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/83776
. . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/83778
. . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/83779
. . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8378
. . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/83781
. . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/83783
. . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/83784
. . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/83786
. . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/83787
. . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/83788) [2013-01]
H01L 2224/83788
. . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8379
. . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/83791
. . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/83793
. . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/837 - H01L 2224/83791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/83794
. . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/837 - H01L 2224/83791 [2016-05]
H01L 2224/83795
. . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/837 - H01L 2224/83791 [2016-05]
H01L 2224/83798
. . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/83799
. . . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/838
. . .
Bonding techniques [2013-01]
H01L 2224/83801
. . . .
Soldering or alloying [2013-01]
H01L 2224/83805
. . . . .
involving forming a eutectic alloy at the bonding interface [2013-01]
H01L 2224/8381
. . . . .
involving forming an intermetallic compound at the bonding interface [2013-01]
H01L 2224/83815
. . . . .
Reflow soldering [2013-01]
H01L 2224/8382
. . . . .
Diffusion bonding [2013-01]
H01L 2224/83825
. . . . . .
Solid-liquid interdiffusion [2013-01]
H01L 2224/8383
. . . . . .
Solid-solid interdiffusion [2013-01]
H01L 2224/8384
. . . .
Sintering [2013-01]
H01L 2224/8385
. . . .
using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester [2013-01]
H01L 2224/83851
. . . . .
being an anisotropic conductive adhesive [2013-01]
H01L 2224/83855
. . . . .
Hardening the adhesive by curing, i.e. thermosetting [2013-01]
H01L 2224/83856
. . . . . .
Pre-cured adhesive, i.e. B-stage adhesive [2013-01]
H01L 2224/83859
. . . . . .
Localised curing of parts of the layer connector [2013-01]
H01L 2224/83862
. . . . . .
Heat curing [2013-01]
H01L 2224/83865
. . . . . .
Microwave curing [2013-01]
H01L 2224/83868
. . . . . .
Infrared [IR] curing [2013-01]
H01L 2224/83871
. . . . . .
Visible light curing [2013-01]
H01L 2224/83874
. . . . . .
Ultraviolet [UV] curing [2013-01]
H01L 2224/83877
. . . . . .
Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes [2013-01]
H01L 2224/8388
. . . . .
Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives [2013-01]
H01L 2224/83885
. . . . .
Combinations of two or more hardening methods provided for in at least two different groups from H01L 2224/83855 - H01L 2224/8388, e.g. for hybrid thermoplastic-thermosetting adhesives [2016-05]
H01L 2224/83886
. . . .
Involving a self-assembly process, e.g. self-agglomeration of a material dispersed in a fluid [2013-01]
H01L 2224/83887
. . . . .
Auxiliary means therefor, e.g. for self-assembly activation [2013-01]
H01L 2224/83888
. . . . .
with special adaptation of the surface of the body to be connected, e.g. surface shape specially adapted for the self-assembly process [2013-01]
H01L 2224/83889
. . . . .
involving the material of the bonding area, e.g. bonding pad [2013-01]
H01L 2224/8389
. . . .
using an inorganic non metallic glass type adhesive, e.g. solder glass [2013-01]
H01L 2224/83893
. . . .
Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond [2013-01]
H01L 2224/83894
. . . .
Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces [2013-01]
H01L 2224/83895
. . . . .
between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding [2013-01]
H01L 2224/83896
. . . . .
between electrically insulating surfaces, e.g. oxide or nitride layers [2013-01]
H01L 2224/83897
. . . .
Mechanical interlocking, e.g. anchoring, hook and loop-type fastening or the like [2013-01]
H01L 2224/83898
. . . . .
Press-fitting, i.e. pushing the parts together and fastening by friction, e.g. by compression of one part against the other [2013-01]
H01L 2224/83899
. . . . . .
using resilient parts in the layer connector or in the bonding area [2013-01]
H01L 2224/839
. . .
with the layer connector not providing any mechanical bonding [2013-01]
H01L 2224/83901
. . . .
Pressing the layer connector against the bonding areas by means of another connector [2013-01]
H01L 2224/83902
. . . . .
by means of another layer connector [2013-01]
H01L 2224/83903
. . . . .
by means of a bump connector [2013-01]
H01L 2224/83904
. . . . .
by means of an encapsulation layer or foil [2013-01]
H01L 2224/83905
. . .
Combinations of bonding methods provided for in at least two different groups from H01L 2224/838 - H01L 2224/83904 [2016-05]
H01L 2224/83906
. . . .
Specific sequence of method steps [2013-01]
H01L 2224/83907
. . . .
Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step [2013-01]
H01L 2224/83908
. . .
involving monitoring, e.g. feedback loop [2013-01]
H01L 2224/83909
. . .
Post-treatment of the layer connector or bonding area [2013-01]
H01L 2224/8391
. . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/83911
. . . . .
Chemical cleaning, e.g. etching, flux [2013-01]
H01L 2224/83912
. . . . .
Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow [2013-01]
H01L 2224/83913
. . . . .
Plasma cleaning [2013-01]
H01L 2224/83914
. . . . .
Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge [2013-01]
H01L 2224/83919
. . . . .
Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8391 - H01L 2224/83914 [2016-05]
H01L 2224/8392
. . . .
Applying permanent coating, e.g. protective coating [2013-01]
H01L 2224/8393
. . . .
Reshaping [2013-01]
H01L 2224/83931
. . . . .
by chemical means, e.g. etching [2013-01]
H01L 2224/83935
. . . . .
by heating means, e.g. reflowing [2013-01]
H01L 2224/83937
. . . . . .
using a polychromatic heating lamp [2013-01]
H01L 2224/83939
. . . . . .
using a laser [2013-01]
H01L 2224/83941
. . . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/83943
. . . . . .
using a flame torch, e.g. hydrogen torch [2013-01]
H01L 2224/83945
. . . . . .
using a corona discharge, e.g. electronic flame off [EFO] [2013-01]
H01L 2224/83947
. . . . .
by mechanical means, e.g. "pull-and-cut", pressing, stamping [2013-01]
H01L 2224/83948
. . . .
Thermal treatments, e.g. annealing, controlled cooling [2013-01]
H01L 2224/83951
. . . .
Forming additional members, e.g. for reinforcing, fillet sealant [2013-01]
H01L 2224/83986
. . .
Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence [2013-01]
H01L 2224/84
. .
using a strap connector [2013-01]
H01L 2224/84001
. . .
involving a temporary auxiliary member not forming part of the bonding apparatus [2013-01]
H01L 2224/84002
. . . .
being a removable or sacrificial coating [2013-01]
H01L 2224/84005
. . . .
being a temporary substrate [2013-01]
H01L 2224/84007
. . .
involving a permanent auxiliary member being left in the finished device, e.g. aids for holding or protecting the strap connector during or after the bonding process [2016-08]
H01L 2224/84009
. . .
Pre-treatment of the connector and/or the bonding area [2013-01]
H01L 2224/8401
. . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/84011
. . . . .
Chemical cleaning, e.g. etching, flux [2013-01]
H01L 2224/84012
. . . . .
Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow [2013-01]
H01L 2224/84013
. . . . .
Plasma cleaning [2013-01]
H01L 2224/84014
. . . . .
Thermal cleaning, e.g. decomposition, sublimation [2013-01]
H01L 2224/84019
. . . . .
Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8401 - H01L 2224/84014 [2016-05]
H01L 2224/8402
. . . .
Applying permanent coating, e.g. in-situ coating [2013-01]
H01L 2224/8403
. . . .
Reshaping [2013-01]
H01L 2224/84031
. . . . .
by chemical means, e.g. etching, anodisation [2013-01]
H01L 2224/84035
. . . . .
by heating means, e.g. "free-air-ball" [2013-01]
H01L 2224/84037
. . . . . .
using a polychromatic heating lamp [2013-01]
H01L 2224/84039
. . . . . .
using a laser [2013-01]
H01L 2224/84041
. . . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/84043
. . . . . .
using a flame torch, e.g. hydrogen torch [2013-01]
H01L 2224/84045
. . . . . .
using a corona discharge, e.g. electronic flame off [EFO] [2013-01]
H01L 2224/84047
. . . . .
by mechanical means, e.g. severing, pressing, stamping [2013-01]
H01L 2224/84048
. . . .
Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling [2013-01]
H01L 2224/84051
. . . .
Forming additional members [2013-01]
H01L 2224/84053
. . .
Bonding environment [2013-01]
H01L 2224/84054
. . . .
Composition of the atmosphere [2013-01]
H01L 2224/84055
. . . . .
being oxidating [2013-01]
H01L 2224/84065
. . . . .
being reducing [2013-01]
H01L 2224/84075
. . . . .
being inert [2013-01]
H01L 2224/84085
. . . .
being a liquid (e.g. for fluidic self-assembly) [2013-01]
H01L 2224/8409
. . . .
Vacuum [2013-01]
H01L 2224/84091
. . . .
Under pressure [2013-01]
H01L 2224/84092
. . . . .
Atmospheric pressure [2013-01]
H01L 2224/84093
. . . . .
Transient conditions, e.g. gas-flow [2013-01]
H01L 2224/84095
. . . .
Temperature settings [2013-01]
H01L 2224/84096
. . . . .
Transient conditions [2013-01]
H01L 2224/84097
. . . . . .
Heating [2013-01]
H01L 2224/84098
. . . . . .
Cooling [2013-01]
H01L 2224/84099
. . . . .
Ambient temperature [2013-01]
H01L 2224/841
. . .
the connector being supplied to the parts to be connected in the bonding apparatus [2013-01]
H01L 2224/8411
. . .
involving protection against electrical discharge, e.g. removing electrostatic charge [2013-01]
H01L 2224/8412
. . .
Aligning [2013-01]
H01L 2224/84121
. . . .
Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors [2013-01]
H01L 2224/84122
. . . . .
by detecting inherent features of, or outside, the semiconductor or solid-state body [2013-01]
H01L 2224/84123
. . . . . .
Shape or position of the body [2013-01]
H01L 2224/84125
. . . . . .
Bonding areas on the body [2013-01]
H01L 2224/84127
. . . . . .
Bonding areas outside the body [2013-01]
H01L 2224/84129
. . . . . .
Shape or position of the other item [2013-01]
H01L 2224/8413
. . . . .
using marks formed on the semiconductor or solid-state body [2013-01]
H01L 2224/84132
. . . . .
using marks formed outside the semiconductor or solid-state body, i.e. "off-chip" [2017-08]
H01L 2224/84136
. . . .
involving guiding structures, e.g. spacers or supporting members [2013-01]
H01L 2224/84138
. . . . .
the guiding structures being at least partially left in the finished device [2013-01]
H01L 2224/84143
. . . .
Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium [2013-01]
H01L 2224/84148
. . . .
involving movement of a part of the bonding apparatus [2013-01]
H01L 2224/84149
. . . . .
being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table [2013-01]
H01L 2224/8415
. . . . . .
Rotational movements [2013-01]
H01L 2224/8416
. . . . . .
Translational movements [2013-01]
H01L 2224/84169
. . . . .
being the upper part of the bonding apparatus, i.e. bonding head, [2013-01]
H01L 2224/8417
. . . . . .
Rotational movements [2013-01]
H01L 2224/8418
. . . . . .
Translational movements [2013-01]
H01L 2224/84181
. . . . . . .
connecting first on the semiconductor or solid-state body, i.e. on-chip, regular stitch [2013-01]
H01L 2224/84186
. . . . . . .
connecting first outside the semiconductor or solid-state body, i.e. off-chip, reverse stitch [2013-01]
H01L 2224/84191
. . . . . . .
connecting first both on and outside the semiconductor or solid-state body, i.e. regular and reverse stitches [2013-01]
H01L 2224/84196
. . . . . . .
involving intermediate connecting steps before cutting the strap connector [2013-01]
H01L 2224/842
. . .
Applying energy for connecting [2013-01]
H01L 2224/84201
. . . .
Compression bonding [2013-01]
H01L 2224/84203
. . . . .
Thermocompression bonding [2013-01]
H01L 2224/84205
. . . . .
Ultrasonic bonding [2013-01]
H01L 2224/84206
. . . . . .
Direction of oscillation [2013-01]
H01L 2224/84207
. . . . . .
Thermosonic bonding [2013-01]
H01L 2224/8421
. . . .
with energy being in the form of electromagnetic radiation [2013-01]
H01L 2224/84212
. . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/84214
. . . . .
using a laser [2013-01]
H01L 2224/8423
. . . . .
Polychromatic or infrared lamp heating [2013-01]
H01L 2224/84232
. . . .
using an autocatalytic reaction, e.g. exothermic brazing [2013-01]
H01L 2224/84234
. . . .
using means for applying energy being within the device, e.g. integrated heater [2013-01]
H01L 2224/84236
. . . .
using electro-static corona discharge [2013-01]
H01L 2224/84237
. . . .
using an electron beam (electron beam welding in general B23K 15/00) [2013-01]
H01L 2224/84238
. . . .
using electric resistance welding, i.e. ohmic heating [2013-01]
H01L 2224/8434
. . .
Bonding interfaces of the connector [2013-01]
H01L 2224/84345
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/84355
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/84359
. . . .
Material [2013-01]
H01L 2224/8436
. . .
Bonding interfaces of the semiconductor or solid state body [2013-01]
H01L 2224/84365
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/84375
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/84379
. . . .
Material [2013-01]
H01L 2224/8438
. . .
Bonding interfaces outside the semiconductor or solid-state body [2013-01]
H01L 2224/84385
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/84395
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/84399
. . . .
Material [2013-01]
H01L 2224/844
. . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/84401
. . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/84405
. . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/84409
. . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/84411
. . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/84413
. . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/84414
. . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/84416
. . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/84417
. . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/84418
. . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8442
. . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/84423
. . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/84424
. . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/84438
. . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/84439
. . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/84444
. . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/84447
. . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/84449
. . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/84455
. . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/84457
. . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8446
. . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/84463
. . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/84464
. . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/84466
. . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/84469
. . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8447
. . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/84471
. . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/84472
. . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/84473
. . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/84476
. . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/84478
. . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/84479
. . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8448
. . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/84481
. . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/84483
. . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/84484
. . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/84486
. . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/84487
. . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/84488) [2013-01]
H01L 2224/84488
. . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8449
. . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/84491
. . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/84493
. . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/844 - H01L 2224/84491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/84494
. . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/844 - H01L 2224/84491 [2016-05]
H01L 2224/84495
. . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/844 - H01L 2224/84491 [2016-05]
H01L 2224/84498
. . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/84499
. . . . . .
Material of the matrix [2013-01]
H01L 2224/845
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/84501
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/84505
. . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/84509
. . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/84511
. . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/84513
. . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/84514
. . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/84516
. . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/84517
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/84518
. . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8452
. . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/84523
. . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/84524
. . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/84538
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/84539
. . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/84544
. . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/84547
. . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/84549
. . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/84555
. . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/84557
. . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8456
. . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/84563
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/84564
. . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/84566
. . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/84569
. . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8457
. . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/84571
. . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/84572
. . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/84573
. . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/84576
. . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/84578
. . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/84579
. . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8458
. . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/84581
. . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/84583
. . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/84584
. . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/84586
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/84587
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/84588) [2015-11]
H01L 2224/84588
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8459
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/84591
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/84593
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/845 - H01L 2224/84591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/84594
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/845 - H01L 2224/84591 [2016-05]
H01L 2224/84595
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/845 - H01L 2224/84591 [2016-05]
H01L 2224/84598
. . . . . .
Fillers [2013-01]
H01L 2224/84599
. . . . . . .
Base material [2013-01]
H01L 2224/846
. . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/84601
. . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/84605
. . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/84609
. . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/84611
. . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/84613
. . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/84614
. . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/84616
. . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/84617
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/84618
. . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8462
. . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/84623
. . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/84624
. . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/84638
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/84639
. . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/84644
. . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/84647
. . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/84649
. . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/84655
. . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/84657
. . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8466
. . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/84663
. . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/84664
. . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/84666
. . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/84669
. . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8467
. . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/84671
. . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/84672
. . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/84673
. . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/84676
. . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/84678
. . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/84679
. . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8468
. . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/84681
. . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/84683
. . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/84684
. . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/84686
. . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/84687
. . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/84688) [2013-01]
H01L 2224/84688
. . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8469
. . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/84691
. . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/84693
. . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/846 - H01L 2224/84691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/84694
. . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/846 - H01L 2224/84691 [2016-05]
H01L 2224/84695
. . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/846 - H01L 2224/84691 [2016-05]
H01L 2224/84698
. . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/84699
. . . . . . .
Coating material [2013-01]
H01L 2224/847
. . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2224/84701
. . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/84705
. . . . . . . . . .
Gallium [Ga] as principal constituent [2013-01]
H01L 2224/84709
. . . . . . . . . .
Indium [In] as principal constituent [2013-01]
H01L 2224/84711
. . . . . . . . . .
Tin [Sn] as principal constituent [2013-01]
H01L 2224/84713
. . . . . . . . . .
Bismuth [Bi] as principal constituent [2013-01]
H01L 2224/84714
. . . . . . . . . .
Thallium [Tl] as principal constituent [2013-01]
H01L 2224/84716
. . . . . . . . . .
Lead [Pb] as principal constituent [2013-01]
H01L 2224/84717
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/84718
. . . . . . . . . .
Zinc [Zn] as principal constituent [2013-01]
H01L 2224/8472
. . . . . . . . . .
Antimony [Sb] as principal constituent [2013-01]
H01L 2224/84723
. . . . . . . . . .
Magnesium [Mg] as principal constituent [2013-01]
H01L 2224/84724
. . . . . . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2224/84738
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/84739
. . . . . . . . . .
Silver [Ag] as principal constituent [2013-01]
H01L 2224/84744
. . . . . . . . . .
Gold [Au] as principal constituent [2013-01]
H01L 2224/84747
. . . . . . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2224/84749
. . . . . . . . . .
Manganese [Mn] as principal constituent [2013-01]
H01L 2224/84755
. . . . . . . . . .
Nickel [Ni] as principal constituent [2013-01]
H01L 2224/84757
. . . . . . . . . .
Cobalt [Co] as principal constituent [2013-01]
H01L 2224/8476
. . . . . . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2224/84763
. . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/84764
. . . . . . . . . .
Palladium [Pd] as principal constituent [2013-01]
H01L 2224/84766
. . . . . . . . . .
Titanium [Ti] as principal constituent [2013-01]
H01L 2224/84769
. . . . . . . . . .
Platinum [Pt] as principal constituent [2013-01]
H01L 2224/8477
. . . . . . . . . .
Zirconium [Zr] as principal constituent [2013-01]
H01L 2224/84771
. . . . . . . . . .
Chromium [Cr] as principal constituent [2013-01]
H01L 2224/84772
. . . . . . . . . .
Vanadium [V] as principal constituent [2013-01]
H01L 2224/84773
. . . . . . . . . .
Rhodium [Rh] as principal constituent [2013-01]
H01L 2224/84776
. . . . . . . . . .
Ruthenium [Ru] as principal constituent [2013-01]
H01L 2224/84778
. . . . . . . . . .
Iridium [Ir] as principal constituent [2013-01]
H01L 2224/84779
. . . . . . . . . .
Niobium [Nb] as principal constituent [2013-01]
H01L 2224/8478
. . . . . . . . . .
Molybdenum [Mo] as principal constituent [2013-01]
H01L 2224/84781
. . . . . . . . . .
Tantalum [Ta] as principal constituent [2013-01]
H01L 2224/84783
. . . . . . . . . .
Rhenium [Re] as principal constituent [2013-01]
H01L 2224/84784
. . . . . . . . . .
Tungsten [W] as principal constituent [2013-01]
H01L 2224/84786
. . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/84787
. . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/84788) [2013-01]
H01L 2224/84788
. . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8479
. . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/84791
. . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/84793
. . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/847 - H01L 2224/84791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/84794
. . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/847 - H01L 2224/84791 [2016-05]
H01L 2224/84795
. . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/847 - H01L 2224/84791 [2016-05]
H01L 2224/84798
. . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/84799
. . . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/848
. . .
Bonding techniques [2013-01]
H01L 2224/84801
. . . .
Soldering or alloying [2013-01]
H01L 2224/84805
. . . . .
involving forming a eutectic alloy at the bonding interface [2013-01]
H01L 2224/8481
. . . . .
involving forming an intermetallic compound at the bonding interface [2013-01]
H01L 2224/84815
. . . . .
Reflow soldering [2013-01]
H01L 2224/8482
. . . . .
Diffusion bonding [2013-01]
H01L 2224/84825
. . . . . .
Solid-liquid interdiffusion [2013-01]
H01L 2224/8483
. . . . . .
Solid-solid interdiffusion [2013-01]
H01L 2224/8484
. . . .
Sintering [2013-01]
H01L 2224/8485
. . . .
using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester [2013-01]
H01L 2224/84855
. . . . .
Hardening the adhesive by curing, i.e. thermosetting [2013-01]
H01L 2224/84856
. . . . . .
Pre-cured adhesive, i.e. B-stage adhesive [2013-01]
H01L 2224/84859
. . . . . .
Localised curing of parts of the connector [2013-01]
H01L 2224/84862
. . . . . .
Heat curing [2013-01]
H01L 2224/84865
. . . . . .
Microwave curing [2013-01]
H01L 2224/84868
. . . . . .
Infrared [IR] curing [2013-01]
H01L 2224/84871
. . . . . .
Visible light curing [2013-01]
H01L 2224/84874
. . . . . .
Ultraviolet [UV] curing [2013-01]
H01L 2224/84877
. . . . . .
Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes [2013-01]
H01L 2224/8488
. . . . .
Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives [2013-01]
H01L 2224/84885
. . . . .
Combinations of two or more hardening methods provided for in at least two different groups from H01L 2224/84855 - H01L 2224/8488, e.g. for hybrid thermoplastic-thermosetting adhesives [2016-05]
H01L 2224/8489
. . . .
using an inorganic non metallic glass type adhesive, e.g. solder glass [2013-01]
H01L 2224/84893
. . . .
Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond [2013-01]
H01L 2224/84895
. . . .
Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces [2013-01]
H01L 2224/84897
. . . . .
between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding [2013-01]
H01L 2224/84898
. . . . .
between electrically insulating surfaces, e.g. oxide or nitride layersg [2013-01]
H01L 2224/84899
. . . .
Combinations of bonding methods provided for in at least two different groups from H01L 2224/848 - H01L 2224/84898 [2016-05]
H01L 2224/849
. . .
involving monitoring, e.g. feedback loop [2013-01]
H01L 2224/84909
. . .
Post-treatment of the connector or bonding area [2013-01]
H01L 2224/8491
. . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/84911
. . . . .
Chemical cleaning, e.g. etching, flux [2013-01]
H01L 2224/84912
. . . . .
Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow [2013-01]
H01L 2224/84913
. . . . .
Plasma cleaning [2013-01]
H01L 2224/84914
. . . . .
Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge [2013-01]
H01L 2224/84919
. . . . .
Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8491 - H01L 2224/84914 [2016-05]
H01L 2224/8492
. . . .
Applying permanent coating, e.g. protective coating [2013-01]
H01L 2224/8493
. . . .
Reshaping, e.g. for severing the strap, modifying the loop shape [2016-08]
H01L 2224/84931
. . . . .
by chemical means, e.g. etching [2013-01]
H01L 2224/84935
. . . . .
by heating means, e.g. reflowing [2013-01]
H01L 2224/84937
. . . . . .
using a polychromatic heating lamp [2013-01]
H01L 2224/84939
. . . . . .
using a laser [2013-01]
H01L 2224/84941
. . . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/84943
. . . . . .
using a flame torch, e.g. hydrogen torch [2013-01]
H01L 2224/84945
. . . . . .
using a corona discharge, e.g. electronic flame off [EFO] [2013-01]
H01L 2224/84947
. . . . .
by mechanical means, e.g. pressing, stamping [2013-01]
H01L 2224/84948
. . . .
Thermal treatments, e.g. annealing, controlled cooling [2013-01]
H01L 2224/84951
. . . .
Forming additional members, e.g. for reinforcing [2013-01]
H01L 2224/84986
. . .
Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence [2013-01]
H01L 2224/85
. .
using a wire connector [2013-01]
H01L 2224/85001
. . .
involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate [2013-01]
H01L 2224/85002
. . . .
being a removable or sacrificial coating [2013-01]
H01L 2224/85005
. . . .
being a temporary or sacrificial substrate [2013-01]
H01L 2224/85007
. . .
involving a permanent auxiliary member being left in the finished device, e.g. aids for holding or protecting the wire connector during or after the bonding process [2016-08]
H01L 2224/85009
. . .
Pre-treatment of the connector or the bonding area [2013-01]
H01L 2224/8501
. . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/85011
. . . . .
Chemical cleaning, e.g. etching, flux [2013-01]
H01L 2224/85012
. . . . .
Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow [2013-01]
H01L 2224/85013
. . . . .
Plasma cleaning [2013-01]
H01L 2224/85014
. . . . .
Thermal cleaning, e.g. decomposition, sublimation [2013-01]
H01L 2224/85016
. . . . . .
using a laser [2013-01]
H01L 2224/85017
. . . . .
Electron beam cleaning [2013-01]
H01L 2224/85019
. . . . .
Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8501 - H01L 2224/85014 [2016-05]
H01L 2224/8502
. . . .
Applying permanent coating, e.g. in-situ coating [2013-01]
H01L 2224/8503
. . . .
Reshaping, e.g. forming the ball or the wedge of the wire connector [2013-01]
H01L 2224/85031
. . . . .
by chemical means, e.g. etching, anodisation [2013-01]
H01L 2224/85035
. . . . .
by heating means, e.g. "free-air-ball" [2013-01]
H01L 2224/85037
. . . . . .
using a polychromatic heating lamp [2013-01]
H01L 2224/85039
. . . . . .
using a laser [2013-01]
H01L 2224/85041
. . . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/85043
. . . . . .
using a flame torch, e.g. hydrogen torch [2013-01]
H01L 2224/85045
. . . . . .
using a corona discharge, e.g. electronic flame off [EFO] [2013-01]
H01L 2224/85047
. . . . .
by mechanical means, e.g. severing, pressing, stamping [2013-01]
H01L 2224/85048
. . . .
Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling [2013-01]
H01L 2224/85051
. . . .
Forming additional members, e.g. for "wedge-on-ball", "ball-on-wedge", "ball-on-ball" connections [2013-01]
H01L 2224/85053
. . .
Bonding environment [2013-01]
H01L 2224/85054
. . . .
Composition of the atmosphere [2013-01]
H01L 2224/85055
. . . . .
being oxidating [2013-01]
H01L 2224/85065
. . . . .
being reducing [2013-01]
H01L 2224/85075
. . . . .
being inert [2013-01]
H01L 2224/85085
. . . .
being a liquid, e.g. for fluidic self-assembly [2013-01]
H01L 2224/8509
. . . .
Vacuum [2013-01]
H01L 2224/85091
. . . .
Under pressure [2013-01]
H01L 2224/85092
. . . . .
Atmospheric pressure [2013-01]
H01L 2224/85093
. . . . .
Transient conditions, e.g. gas-flow [2013-01]
H01L 2224/85095
. . . .
Temperature settings [2013-01]
H01L 2224/85096
. . . . .
Transient conditions [2013-01]
H01L 2224/85097
. . . . . .
Heating [2013-01]
H01L 2224/85098
. . . . . .
Cooling [2013-01]
H01L 2224/85099
. . . . .
Ambient temperature [2013-01]
H01L 2224/851
. . .
the connector being supplied to the parts to be connected in the bonding apparatus [2013-01]
H01L 2224/8511
. . .
involving protection against electrical discharge, e.g. removing electrostatic charge [2013-01]
H01L 2224/8512
. . .
Aligning [2013-01]
H01L 2224/85121
. . . .
Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors [2013-01]
H01L 2224/85122
. . . . .
by detecting inherent features of, or outside, the semiconductor or solid-state body [2013-01]
H01L 2224/85123
. . . . . .
Shape or position of the body [2013-01]
H01L 2224/85125
. . . . . .
Bonding areas on the body [2013-01]
H01L 2224/85127
. . . . . .
Bonding areas outside the body [2013-01]
H01L 2224/85129
. . . . . .
Shape or position of the other item [2013-01]
H01L 2224/8513
. . . . .
using marks formed on the semiconductor or solid-state body [2013-01]
H01L 2224/85132
. . . . .
using marks formed outside the semiconductor or solid-state body, i.e. "off-chip" [2013-01]
H01L 2224/85136
. . . .
involving guiding structures, e.g. spacers or supporting members [2013-01]
H01L 2224/85138
. . . . .
the guiding structures being at least partially left in the finished device [2013-01]
H01L 2224/85143
. . . .
Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium [2013-01]
H01L 2224/85148
. . . .
involving movement of a part of the bonding apparatus [2013-01]
H01L 2224/85149
. . . . .
being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table [2013-01]
H01L 2224/8515
. . . . . .
Rotational movements [2013-01]
H01L 2224/8516
. . . . . .
Translational movements [2013-01]
H01L 2224/85169
. . . . .
being the upper part of the bonding apparatus, i.e. bonding head, e.g. capillary or wedge [2013-01]
H01L 2224/8517
. . . . . .
Rotational movements [2013-01]
H01L 2224/8518
. . . . . .
Translational movements [2013-01]
H01L 2224/85181
. . . . . . .
connecting first on the semiconductor or solid-state body, i.e. on-chip, regular stitch [2013-01]
H01L 2224/85186
. . . . . . .
connecting first outside the semiconductor or solid-state body, i.e. off-chip, reverse stitch [2013-01]
H01L 2224/85191
. . . . . . .
connecting first both on and outside the semiconductor or solid-state body, i.e. regular and reverse stitches [2013-01]
H01L 2224/85196
. . . . . . .
involving intermediate connecting steps before cutting the wire connector [2013-01]
H01L 2224/852
. . .
Applying energy for connecting [2013-01]
H01L 2224/85201
. . . .
Compression bonding [2013-01]
H01L 2224/85203
. . . . .
Thermocompression bonding [2013-01]
H01L 2224/85205
. . . . .
Ultrasonic bonding [2013-01]
H01L 2224/85206
. . . . . .
Direction of oscillation [2013-01]
H01L 2224/85207
. . . . . .
Thermosonic bonding [2013-01]
H01L 2224/8521
. . . .
with energy being in the form of electromagnetic radiation [2013-01]
H01L 2224/85212
. . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/85214
. . . . .
using a laser [2013-01]
H01L 2224/8523
. . . . .
Polychromatic or infrared lamp heating [2013-01]
H01L 2224/85232
. . . .
using an autocatalytic reaction, e.g. exothermic brazing [2013-01]
H01L 2224/85234
. . . .
using means for applying energy being within the device, e.g. integrated heater [2013-01]
H01L 2224/85236
. . . .
using electro-static corona discharge [2013-01]
H01L 2224/85237
. . . .
using electron beam (using electron beam in general B23K 15/00) [2013-01]
H01L 2224/85238
. . . .
using electric resistance welding, i.e. ohmic heating [2013-01]
H01L 2224/8534
. . .
Bonding interfaces of the connector [2013-01]
H01L 2224/85345
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/85355
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/85359
. . . .
Material [2013-01]
H01L 2224/8536
. . .
Bonding interfaces of the semiconductor or solid state body [2013-01]
H01L 2224/85365
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/85375
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/85379
. . . .
Material [2013-01]
H01L 2224/8538
. . .
Bonding interfaces outside the semiconductor or solid-state body [2013-01]
H01L 2224/85385
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/85395
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/85399
. . . .
Material [2013-01]
H01L 2224/854
. . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/85401
. . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/85405
. . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/85409
. . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/85411
. . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/85413
. . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/85414
. . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/85416
. . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/85417
. . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/85418
. . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/8542
. . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/85423
. . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/85424
. . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/85438
. . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/85439
. . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/85444
. . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/85447
. . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/85449
. . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/85455
. . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/85457
. . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/8546
. . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/85463
. . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/85464
. . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/85466
. . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/85469
. . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/8547
. . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/85471
. . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/85472
. . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/85473
. . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/85476
. . . . . . .
Ruthenium (Ru) as principal constituent [2013-01]
H01L 2224/85478
. . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/85479
. . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/8548
. . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/85481
. . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/85483
. . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/85484
. . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/85486
. . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/85487
. . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/85488) [2013-01]
H01L 2224/85488
. . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8549
. . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/85491
. . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/85493
. . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/854 - H01L 2224/85491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/85494
. . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/854 - H01L 2224/85491 [2016-05]
H01L 2224/85495
. . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/854 - H01L 2224/85491 [2016-05]
H01L 2224/85498
. . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/85499
. . . . . .
Material of the matrix [2013-01]
H01L 2224/855
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/85501
. . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/85505
. . . . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/85509
. . . . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/85511
. . . . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/85513
. . . . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/85514
. . . . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/85516
. . . . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/85517
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/85518
. . . . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/8552
. . . . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/85523
. . . . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/85524
. . . . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/85538
. . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/85539
. . . . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/85544
. . . . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/85547
. . . . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/85549
. . . . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/85555
. . . . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/85557
. . . . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/8556
. . . . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/85563
. . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/85564
. . . . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/85566
. . . . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/85569
. . . . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/8557
. . . . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/85571
. . . . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/85572
. . . . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/85573
. . . . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/85576
. . . . . . . . .
Ruthenium (Ru) as principal constituent [2013-01]
H01L 2224/85578
. . . . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/85579
. . . . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/8558
. . . . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/85581
. . . . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/85583
. . . . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/85584
. . . . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/85586
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/85587
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/85588) [2013-01]
H01L 2224/85588
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8559
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/85591
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/85593
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/855 - H01L 2224/85591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/85594
. . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/855 - H01L 2224/85591 [2016-05]
H01L 2224/85595
. . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/855 - H01L 2224/85591 [2016-05]
H01L 2224/85598
. . . . . .
Fillers [2013-01]
H01L 2224/85599
. . . . . . .
Base material [2013-01]
H01L 2224/856
. . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/85601
. . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/85605
. . . . . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/85609
. . . . . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/85611
. . . . . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/85613
. . . . . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/85614
. . . . . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/85616
. . . . . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/85617
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/85618
. . . . . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/8562
. . . . . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/85623
. . . . . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/85624
. . . . . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/85638
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/85639
. . . . . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/85644
. . . . . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/85647
. . . . . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/85649
. . . . . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/85655
. . . . . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/85657
. . . . . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/8566
. . . . . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/85663
. . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/85664
. . . . . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/85666
. . . . . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/85669
. . . . . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/8567
. . . . . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/85671
. . . . . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/85672
. . . . . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/85673
. . . . . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/85676
. . . . . . . . . .
Ruthenium (Ru) as principal constituent [2013-01]
H01L 2224/85678
. . . . . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/85679
. . . . . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/8568
. . . . . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/85681
. . . . . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/85683
. . . . . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/85684
. . . . . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/85686
. . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/85687
. . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/85688) [2013-01]
H01L 2224/85688
. . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8569
. . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/85691
. . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/85693
. . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/856 - H01L 2224/85691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/85694
. . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/856 - H01L 2224/85691 [2016-05]
H01L 2224/85695
. . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/856 - H01L 2224/85691 [2016-05]
H01L 2224/85698
. . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/85699
. . . . . . .
Coating material [2013-01]
H01L 2224/857
. . . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof [2013-01]
H01L 2224/85701
. . . . . . . . .
the principal constituent melting at a temperature of less than 400°C [2013-01]
H01L 2224/85705
. . . . . . . . . .
Gallium (Ga) as principal constituent [2013-01]
H01L 2224/85709
. . . . . . . . . .
Indium (In) as principal constituent [2013-01]
H01L 2224/85711
. . . . . . . . . .
Tin (Sn) as principal constituent [2013-01]
H01L 2224/85713
. . . . . . . . . .
Bismuth (Bi) as principal constituent [2013-01]
H01L 2224/85714
. . . . . . . . . .
Thallium (Tl) as principal constituent [2013-01]
H01L 2224/85716
. . . . . . . . . .
Lead (Pb) as principal constituent [2013-01]
H01L 2224/85717
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C [2013-01]
H01L 2224/85718
. . . . . . . . . .
Zinc (Zn) as principal constituent [2013-01]
H01L 2224/8572
. . . . . . . . . .
Antimony (Sb) as principal constituent [2013-01]
H01L 2224/85723
. . . . . . . . . .
Magnesium (Mg) as principal constituent [2013-01]
H01L 2224/85724
. . . . . . . . . .
Aluminium (Al) as principal constituent [2013-01]
H01L 2224/85738
. . . . . . . . .
the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C [2013-01]
H01L 2224/85739
. . . . . . . . . .
Silver (Ag) as principal constituent [2013-01]
H01L 2224/85744
. . . . . . . . . .
Gold (Au) as principal constituent [2013-01]
H01L 2224/85747
. . . . . . . . . .
Copper (Cu) as principal constituent [2013-01]
H01L 2224/85749
. . . . . . . . . .
Manganese (Mn) as principal constituent [2013-01]
H01L 2224/85755
. . . . . . . . . .
Nickel (Ni) as principal constituent [2013-01]
H01L 2224/85757
. . . . . . . . . .
Cobalt (Co) as principal constituent [2013-01]
H01L 2224/8576
. . . . . . . . . .
Iron (Fe) as principal constituent [2013-01]
H01L 2224/85763
. . . . . . . . .
the principal constituent melting at a temperature of greater than 1550°C [2013-01]
H01L 2224/85764
. . . . . . . . . .
Palladium (Pd) as principal constituent [2013-01]
H01L 2224/85766
. . . . . . . . . .
Titanium (Ti) as principal constituent [2013-01]
H01L 2224/85769
. . . . . . . . . .
Platinum (Pt) as principal constituent [2013-01]
H01L 2224/8577
. . . . . . . . . .
Zirconium (Zr) as principal constituent [2013-01]
H01L 2224/85771
. . . . . . . . . .
Chromium (Cr) as principal constituent [2013-01]
H01L 2224/85772
. . . . . . . . . .
Vanadium (V) as principal constituent [2013-01]
H01L 2224/85773
. . . . . . . . . .
Rhodium (Rh) as principal constituent [2013-01]
H01L 2224/85776
. . . . . . . . . .
Ruthenium (Ru) as principal constituent [2013-01]
H01L 2224/85778
. . . . . . . . . .
Iridium (Ir) as principal constituent [2013-01]
H01L 2224/85779
. . . . . . . . . .
Niobium (Nb) as principal constituent [2013-01]
H01L 2224/8578
. . . . . . . . . .
Molybdenum (Mo) as principal constituent [2013-01]
H01L 2224/85781
. . . . . . . . . .
Tantalum (Ta) as principal constituent [2013-01]
H01L 2224/85783
. . . . . . . . . .
Rhenium (Re) as principal constituent [2013-01]
H01L 2224/85784
. . . . . . . . . .
Tungsten (W) as principal constituent [2013-01]
H01L 2224/85786
. . . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2224/85787
. . . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/85788) [2013-01]
H01L 2224/85788
. . . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2224/8579
. . . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2224/85791
. . . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2224/85793
. . . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2224/857 - H01L 2224/85791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2224/85794
. . . . . . . .
with a principal constituent of the material being a liquid not provided for in groups H01L 2224/857 - H01L 2224/85791 [2016-05]
H01L 2224/85795
. . . . . . . .
with a principal constituent of the material being a gas not provided for in groups H01L 2224/857 - H01L 2224/85791 [2016-05]
H01L 2224/85798
. . . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2224/85799
. . . . . .
Shape or distribution of the fillers [2013-01]
H01L 2224/858
. . .
Bonding techniques [2013-01]
H01L 2224/85801
. . . .
Soldering or alloying [2013-01]
H01L 2224/85805
. . . . .
involving forming a eutectic alloy at the bonding interface [2013-01]
H01L 2224/8581
. . . . .
involving forming an intermetallic compound at the bonding interface [2013-01]
H01L 2224/85815
. . . . .
Reflow soldering [2013-01]
H01L 2224/8582
. . . . .
Diffusion bonding [2013-01]
H01L 2224/85825
. . . . . .
Solid-liquid interdiffusion [2013-01]
H01L 2224/8583
. . . . . .
Solid-solid interdiffusion, e.g. "direct bonding" [2013-01]
H01L 2224/8584
. . . .
Sintering [2013-01]
H01L 2224/8585
. . . .
using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester [2013-01]
H01L 2224/85855
. . . . .
Hardening the adhesive by curing, i.e. thermosetting [2013-01]
H01L 2224/85856
. . . . . .
Pre-cured adhesive, i.e. B-stage adhesive [2013-01]
H01L 2224/85859
. . . . . .
Localised curing of parts of the connector [2013-01]
H01L 2224/85862
. . . . . .
Heat curing [2013-01]
H01L 2224/85865
. . . . . .
Microwave curing [2013-01]
H01L 2224/85868
. . . . . .
Infrared [IR] curing [2013-01]
H01L 2224/85871
. . . . . .
Visible light curing [2013-01]
H01L 2224/85874
. . . . . .
Ultraviolet [UV] curing [2013-01]
H01L 2224/85877
. . . . . .
Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes [2013-01]
H01L 2224/8588
. . . . .
Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives [2013-01]
H01L 2224/85885
. . . . .
Combinations of two or more hardening methods provided for in at least two different groups from H01L 2224/85855 - H01L 2224/8588, e.g. for hybrid thermoplastic-thermosetting adhesives [2016-05]
H01L 2224/8589
. . . .
using an inorganic non metallic glass type adhesive, e.g. solder glass [2013-01]
H01L 2224/85893
. . . .
Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond [2013-01]
H01L 2224/85895
. . . .
Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces [2013-01]
H01L 2224/85897
. . . . .
between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding [2013-01]
H01L 2224/85898
. . . . .
between electrically insulating surfaces, e.g. oxide or nitride layers [2013-01]
H01L 2224/85899
. . . .
Combinations of bonding methods provided for in at least two different groups from H01L 2224/858 - H01L 2224/85898 [2016-05]
H01L 2224/859
. . .
involving monitoring, e.g. feedback loop [2013-01]
H01L 2224/85909
. . .
Post-treatment of the connector or wire bonding area [2013-01]
H01L 2224/8591
. . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/85911
. . . . .
Chemical cleaning, e.g. etching, flux [2013-01]
H01L 2224/85912
. . . . .
Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow [2013-01]
H01L 2224/85913
. . . . .
Plasma cleaning [2013-01]
H01L 2224/85914
. . . . .
Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge [2013-01]
H01L 2224/85916
. . . . . .
using a laser [2013-01]
H01L 2224/85917
. . . . .
Electron beam cleaning [2013-01]
H01L 2224/85919
. . . . .
Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8591 - H01L 2224/85914 [2016-05]
H01L 2224/8592
. . . .
Applying permanent coating, e.g. protective coating [2013-01]
H01L 2224/8593
. . . .
Reshaping, e.g. for severing the wire, modifying the wedge or ball or the loop shape [2016-08]
H01L 2224/85931
. . . . .
by chemical means, e.g. etching [2013-01]
H01L 2224/85935
. . . . .
by heating means, e.g. reflowing [2013-01]
H01L 2224/85937
. . . . . .
using a polychromatic heating lamp [2013-01]
H01L 2224/85939
. . . . . .
using a laser [2013-01]
H01L 2224/85941
. . . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/85943
. . . . . .
using a flame torch, e.g. hydrogen torch [2013-01]
H01L 2224/85945
. . . . . .
using a corona discharge, e.g. electronic flame off [EFO] [2013-01]
H01L 2224/85947
. . . . .
by mechanical means, e.g. "pull-and-cut", pressing, stamping [2013-01]
H01L 2224/85948
. . . .
Thermal treatments, e.g. annealing, controlled cooling [2013-01]
H01L 2224/85951
. . . .
Forming additional members, e.g. for reinforcing [2013-01]
H01L 2224/85986
. . .
Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence [2013-01]
H01L 2224/86
. .
using tape automated bonding [TAB] [2013-01]
H01L 2224/86001
. . .
involving a temporary auxiliary member not forming part of the bonding apparatus [2013-01]
H01L 2224/86002
. . . .
being a removable or sacrificial coating [2013-01]
H01L 2224/86005
. . . .
being a temporary or sacrificial substrate [2013-01]
H01L 2224/86007
. . .
involving a permanent auxiliary member being left in the finished device, e.g. aids for holding or protecting the TAB connector during or after the bonding process [2016-08]
H01L 2224/86009
. . .
Pre-treatment of the connector or the bonding area [2013-01]
H01L 2224/8601
. . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/8603
. . . .
Reshaping [2013-01]
H01L 2224/86031
. . . . .
by chemical means, e.g. etching, anodisation [2013-01]
H01L 2224/86035
. . . . .
by heating [2013-01]
H01L 2224/86039
. . . . . .
using a laser [2013-01]
H01L 2224/86045
. . . . . .
using a corona discharge, e.g. electronic flame off [EFO] [2013-01]
H01L 2224/86047
. . . . .
by mechanical means, e.g. severing, pressing, stamping [2013-01]
H01L 2224/86048
. . . .
Thermal treatment, e.g. annealing, controlled pre-heating or pre-cooling [2013-01]
H01L 2224/86051
. . . .
Forming additional members [2013-01]
H01L 2224/86053
. . .
Bonding environment [2013-01]
H01L 2224/86054
. . . .
Composition of the atmosphere [2013-01]
H01L 2224/86085
. . . .
being a liquid, e.g. fluidic self-assembly [2013-01]
H01L 2224/8609
. . . .
Vacuum [2013-01]
H01L 2224/86091
. . . .
Under pressure [2013-01]
H01L 2224/86095
. . . .
Temperature settings [2013-01]
H01L 2224/86096
. . . . .
Transient conditions [2013-01]
H01L 2224/86097
. . . . . .
Heating [2013-01]
H01L 2224/86098
. . . . . .
Cooling [2013-01]
H01L 2224/86099
. . . . .
Ambient temperature [2013-01]
H01L 2224/861
. . .
the connector being supplied to the parts to be connected in the bonding apparatus [2013-01]
H01L 2224/8611
. . .
involving protection against electrical discharge, e.g. removing electrostatic charge [2013-01]
H01L 2224/8612
. . .
Aligning [2013-01]
H01L 2224/86121
. . . .
Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors [2013-01]
H01L 2224/86122
. . . . .
by detecting inherent features of, or outside, the semiconductor or solid-state body [2013-01]
H01L 2224/8613
. . . . .
using marks formed on the semiconductor or solid-state body [2013-01]
H01L 2224/86132
. . . . .
using marks formed outside the semiconductor or solid-state body, i.e. "off-chip" [2017-08]
H01L 2224/86136
. . . .
involving guiding structures, e.g. spacers or supporting members [2013-01]
H01L 2224/86138
. . . . .
the guiding structures being at least partially left in the finished device [2013-01]
H01L 2224/86143
. . . .
Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium [2013-01]
H01L 2224/86148
. . . .
involving movement of a part of the bonding apparatus [2013-01]
H01L 2224/86149
. . . . .
being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table [2013-01]
H01L 2224/8615
. . . . . .
Rotational movements [2013-01]
H01L 2224/8616
. . . . . .
Translational movements [2013-01]
H01L 2224/86169
. . . . .
being the upper part of the bonding apparatus, e.g. nozzle [2013-01]
H01L 2224/8617
. . . . . .
Rotational movement [2013-01]
H01L 2224/8618
. . . . . .
Translational movements [2013-01]
H01L 2224/86181
. . . . . . .
connecting first on the semiconductor or solid-state body, i.e. on-chip, [2013-01]
H01L 2224/86186
. . . . . . .
connecting first outside the semiconductor or solid-state body, i.e. off-chip [2013-01]
H01L 2224/86191
. . . . . . .
connecting first both on and outside the semiconductor or solid-state body [2013-01]
H01L 2224/862
. . .
Applying energy for connecting [2013-01]
H01L 2224/86201
. . . .
Compression bonding [2013-01]
H01L 2224/86203
. . . . .
Thermo-compression bonding [2013-01]
H01L 2224/86205
. . . . .
Ultrasonic bonding [2013-01]
H01L 2224/86207
. . . . . .
Thermosonic bonding [2013-01]
H01L 2224/8621
. . . .
with energy being in the form of electromagnetic radiation [2013-01]
H01L 2224/86212
. . . . .
Induction heating, i.e. eddy currents [2013-01]
H01L 2224/86214
. . . . .
using a laser [2013-01]
H01L 2224/8623
. . . . .
Polychromatic or infrared lamp heating [2013-01]
H01L 2224/86232
. . . . .
using an autocatalytic reaction, e.g. exothermic brazing [2013-01]
H01L 2224/86234
. . . . .
using means for applying energy being within the device, e.g. integrated heater [2013-01]
H01L 2224/86236
. . . . .
using electro-static corona discharge [2013-01]
H01L 2224/86237
. . . . .
using electron beam (electron beam in general B23K 15/00) [2013-01]
H01L 2224/86238
. . . . .
using electric resistance welding, i.e. ohmic heating [2013-01]
H01L 2224/8634
. . .
Bonding interfaces of the connector [2013-01]
H01L 2224/86345
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/86355
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/86359
. . . .
Material [2013-01]
H01L 2224/8636
. . .
Bonding interfaces of the semiconductor or solid state body [2013-01]
H01L 2224/86365
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/86375
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/86379
. . . .
Material [2013-01]
H01L 2224/8638
. . .
Bonding interfaces outside the semiconductor or solid-state body [2013-01]
H01L 2224/86385
. . . .
Shape, e.g. interlocking features [2013-01]
H01L 2224/86395
. . . .
having an external coating, e.g. protective bond-through coating [2013-01]
H01L 2224/86399
. . . .
Material [2013-01]
H01L 2224/868
. . .
Bonding techniques [2013-01]
H01L 2224/86801
. . . .
Soldering or alloying [2013-01]
H01L 2224/86805
. . . . .
involving forming a eutectic alloy at the bonding interface [2013-01]
H01L 2224/8681
. . . . .
involving forming an intermetallic compound at the bonding interface [2013-01]
H01L 2224/86815
. . . . .
Reflow soldering [2013-01]
H01L 2224/8682
. . . . .
Diffusion bonding [2013-01]
H01L 2224/86825
. . . . . .
Solid-liquid interdiffusion [2013-01]
H01L 2224/8683
. . . . . .
Solid-solid interdiffusion [2013-01]
H01L 2224/8684
. . . .
Sintering [2013-01]
H01L 2224/8685
. . . .
using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester [2013-01]
H01L 2224/86855
. . . . .
Hardening the adhesive by curing, i.e. thermosetting [2013-01]
H01L 2224/86856
. . . . . .
Pre-cured adhesive, i.e. B-stage adhesive [2013-01]
H01L 2224/86859
. . . . . .
Localised curing of parts of the connector [2013-01]
H01L 2224/86862
. . . . . .
Heat curing [2013-01]
H01L 2224/86865
. . . . . .
Microwave curing [2013-01]
H01L 2224/86868
. . . . . .
Infrared [IR] curing [2013-01]
H01L 2224/86871
. . . . . .
Visible light curing [2013-01]
H01L 2224/86874
. . . . . .
Ultraviolet [UV] curing [2013-01]
H01L 2224/86877
. . . . . .
Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes [2013-01]
H01L 2224/8688
. . . . .
Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives [2013-01]
H01L 2224/86885
. . . . .
Combinations of two or more hardening methods provided for in at least two different groups selected from H01L 2224/86855 - H01L 2224/8688, e.g. hybrid thermoplastic-thermosetting adhesives [2016-05]
H01L 2224/8689
. . . .
using an inorganic non metallic glass type adhesive, e.g. solder glass [2013-01]
H01L 2224/86893
. . . .
Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond [2013-01]
H01L 2224/86895
. . . .
Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces [2013-01]
H01L 2224/86896
. . . . .
between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding [2013-01]
H01L 2224/86897
. . . . .
between electrically insulating surfaces, e.g. oxide or nitride layers [2013-01]
H01L 2224/86899
. . . .
Combinations of bonding methods provided for in at least two different groups from H01L 2224/868 - H01L 2224/86897 [2016-05]
H01L 2224/869
. . .
involving monitoring, e.g. feedback loop [2013-01]
H01L 2224/86909
. . .
Post-treatment of the connector or the bonding area [2013-01]
H01L 2224/8691
. . . .
Cleaning, e.g. oxide removal step, desmearing [2013-01]
H01L 2224/8693
. . . .
Reshaping [2013-01]
H01L 2224/86931
. . . . .
by chemical means, e.g. etching, anodisation [2013-01]
H01L 2224/86935
. . . . .
by heating means [2013-01]
H01L 2224/86939
. . . . . .
using a laser [2013-01]
H01L 2224/86945
. . . . . .
using a corona discharge, e.g. electronic flame off [EFO] [2013-01]
H01L 2224/86947
. . . . .
by mechanical means, e.g. severing, pressing, stamping [2013-01]
H01L 2224/86948
. . . .
Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling [2013-01]
H01L 2224/86951
. . . .
Forming additional members [2013-01]
H01L 2224/86986
. . .
Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence [2013-01]
H01L 2224/89
. .
using at least one connector not provided for in any of the groups H01L 2224/81 - H01L 2224/86 [2016-05]
H01L 2224/90
.
Methods for connecting semiconductor or solid state bodies using means for bonding not being attached to, or not being formed on, the body surface to be connected, e.g. pressure contacts using springs or clips [2013-01]
H01L 2224/91
.
Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L 2224/80 - H01L 2224/90 [2016-05]
H01L 2224/92
. .
Specific sequence of method steps [2013-01]
H01L 2224/9201
. . .
Forming connectors during the connecting process, e.g. in-situ formation of bumps [2013-01]
H01L 2224/9202
. . .
Forming additional connectors after the connecting process [2013-01]
H01L 2224/9205
. . .
Intermediate bonding steps, i.e. partial connection of the semiconductor or solid-state body during the connecting process [2013-01]
H01L 2224/921
. . .
Connecting a surface with connectors of different types [2013-01]
H01L 2224/9211
. . . .
Parallel connecting processes [2013-01]
H01L 2224/9212
. . . .
Sequential connecting processes [2013-01]
H01L 2224/92122
. . . . .
the first connecting process involving a bump connector [2013-01]
H01L 2224/92124
. . . . . .
the second connecting process involving a build-up interconnect [2013-01]
H01L 2224/92125
. . . . . .
the second connecting process involving a layer connector [2013-01]
H01L 2224/92127
. . . . . .
the second connecting process involving a wire connector [2013-01]
H01L 2224/92132
. . . . .
the first connecting process involving a build-up interconnect [2013-01]
H01L 2224/92133
. . . . . .
the second connecting process involving a bump connector [2013-01]
H01L 2224/92135
. . . . . .
the second connecting process involving a layer connector [2013-01]
H01L 2224/92136
. . . . . .
the second connecting process involving a strap connector [2013-01]
H01L 2224/92137
. . . . . .
the second connecting process involving a wire connector [2013-01]
H01L 2224/92138
. . . . . .
the second connecting process involving a TAB connector [2013-01]
H01L 2224/92142
. . . . .
the first connecting process involving a layer connector [2013-01]
H01L 2224/92143
. . . . . .
the second connecting process involving a bump connector [2013-01]
H01L 2224/92144
. . . . . .
the second connecting process involving a build-up interconnect [2013-01]
H01L 2224/92147
. . . . . .
the second connecting process involving a wire connector [2013-01]
H01L 2224/92148
. . . . . .
the second connecting process involving a TAB connector [2013-01]
H01L 2224/92152
. . . . .
the first connecting process involving a strap connector [2013-01]
H01L 2224/92153
. . . . . .
the second connecting process involving a bump connector [2013-01]
H01L 2224/92155
. . . . . .
the second connecting process involving a layer connector [2013-01]
H01L 2224/92157
. . . . . .
the second connecting process involving a wire connector [2013-01]
H01L 2224/92158
. . . . . .
the second connecting process involving a TAB connector [2013-01]
H01L 2224/92162
. . . . .
the first connecting process involving a wire connector [2013-01]
H01L 2224/92163
. . . . . .
the second connecting process involving a bump connector [2013-01]
H01L 2224/92164
. . . . . .
the second connecting process involving a build-up interconnect [2013-01]
H01L 2224/92165
. . . . . .
the second connecting process involving a layer connector [2013-01]
H01L 2224/92166
. . . . . .
the second connecting process involving a strap connector [2013-01]
H01L 2224/92168
. . . . . .
the second connecting process involving a TAB connector [2013-01]
H01L 2224/92172
. . . . .
the first connecting process involving a TAB connector [2013-01]
H01L 2224/92173
. . . . . .
the second connecting process involving a bump connector [2013-01]
H01L 2224/92174
. . . . . .
the second connecting process involving a build-up interconnect [2013-01]
H01L 2224/92175
. . . . . .
the second connecting process involving a layer connector [2013-01]
H01L 2224/92176
. . . . . .
the second connecting process involving a strap connector [2013-01]
H01L 2224/92177
. . . . . .
the second connecting process involving a wire connector [2013-01]
H01L 2224/922
. . .
Connecting different surfaces of the semiconductor or solid-state body with connectors of different types [2013-01]
H01L 2224/9221
. . . .
Parallel connecting processes [2013-01]
H01L 2224/9222
. . . .
Sequential connecting processes [2013-01]
H01L 2224/92222
. . . . .
the first connecting process involving a bump connector [2013-01]
H01L 2224/92224
. . . . . .
the second connecting process involving a build-up interconnect [2013-01]
H01L 2224/92225
. . . . . .
the second connecting process involving a layer connector [2013-01]
H01L 2224/92226
. . . . . .
the second connecting process involving a strap connector [2013-01]
H01L 2224/92227
. . . . . .
the second connecting process involving a wire connector [2013-01]
H01L 2224/92228
. . . . . .
the second connecting process involving a TAB connector [2013-01]
H01L 2224/92242
. . . . .
the first connecting process involving a layer connector [2013-01]
H01L 2224/92244
. . . . . .
the second connecting process involving a build-up interconnect [2013-01]
H01L 2224/92246
. . . . . .
the second connecting process involving a strap connector [2013-01]
H01L 2224/92247
. . . . . .
the second connecting process involving a wire connector [2013-01]
H01L 2224/92248
. . . . . .
the second connecting process involving a TAB connector [2013-01]
H01L 2224/92252
. . . . .
the first connecting process involving a strap connector [2013-01]
H01L 2224/92253
. . . . . .
the second connecting process involving a bump connector [2013-01]
H01L 2224/92255
. . . . . .
the second connecting process involving a layer connector [2013-01]
H01L 2224/93
.
Batch processes [2013-01]
H01L 2224/94
. .
at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices [2013-01]
H01L 2224/95
. .
at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips [2013-01]
H01L 2224/95001
. . .
involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate [2013-01]
H01L 2224/95053
. . .
Bonding environment [2013-01]
H01L 2224/95085
. . . .
being a liquid, e.g. for fluidic self-assembly [2013-01]
H01L 2224/95091
. . . .
Under pressure [2013-01]
H01L 2224/95092
. . . . .
Atmospheric pressure, e.g. dry self-assembly [2016-08]
H01L 2224/95093
. . . . .
Transient conditions, e.g. assisted by a gas flow or a liquid flow [2013-01]
H01L 2224/951
. . .
Supplying the plurality of semiconductor or solid-state bodies [2013-01]
H01L 2224/95101
. . . .
in a liquid medium [2013-01]
H01L 2224/95102
. . . . .
being a colloidal droplet [2013-01]
H01L 2224/9511
. . . .
using a rack or rail [2013-01]
H01L 2224/95115
. . . .
using a roll-to-roll transfer technique [2013-01]
H01L 2224/9512
. . .
Aligning the plurality of semiconductor or solid-state bodies [2013-01]
H01L 2224/95121
. . . .
Active alignment, i.e. by apparatus steering [2013-01]
H01L 2224/95122
. . . . .
by applying vibration [2013-01]
H01L 2224/95123
. . . . .
by applying a pressurised fluid flow, e.g. liquid or gas flow [2016-08]
H01L 2224/95133
. . . . .
by applying an electromagnetic field [2013-01]
H01L 2224/95134
. . . . . .
Electrowetting, i.e. by changing the surface energy of a droplet [2013-01]
H01L 2224/95136
. . . .
involving guiding structures, e.g. shape matching, spacers or supporting members [2013-01]
H01L 2224/95143
. . . .
Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium [2013-01]
H01L 2224/95144
. . . . .
Magnetic alignment, i.e. using permanent magnetic parts in the semiconductor or solid-state body [2013-01]
H01L 2224/95145
. . . . .
Electrostatic alignment, i.e. polarity alignment with Coulomb charges [2016-08]
H01L 2224/95146
. . . . .
by surface tension [2013-01]
H01L 2224/95147
. . . . .
by molecular lock-key, e.g. by DNA [2013-01]
H01L 2224/95148
. . . .
involving movement of a part of the bonding apparatus [2013-01]
H01L 2224/96
. . .
the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting [2013-01]
H01L 2224/97
. . .
the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting [2013-01]
H01L 2224/98
.
Methods for disconnecting semiconductor or solid-state bodies [2013-01]
H01L 2225/00
Details relating to assemblies covered by the group H01L 25/00 but not provided for in its subgroups [2013-01]
H01L 2225/03
.
All the devices being of a type provided for in the same subgroup of groups H01L 27/00 - H01L 33/648 and H10K 99/00 [2023-02]
H01L 2225/04
. .
the devices not having separate containers [2013-01]
H01L 2225/065
. . .
the devices being of a type provided for in group H01L 27/00 [2013-01]
H01L 2225/06503
. . . .
Stacked arrangements of devices [2013-01]
H01L 2225/06506
. . . . .
Wire or wire-like electrical connections between devices [2013-01]
H01L 2225/0651
. . . . .
Wire or wire-like electrical connections from device to substrate [2013-01]
H01L 2225/06513
. . . . .
Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps [2013-01]
H01L 2225/06517
. . . . .
Bump or bump-like direct electrical connections from device to substrate [2013-01]
H01L 2225/0652
. . . . .
Bump or bump-like direct electrical connections from substrate to substrate [2013-01]
H01L 2225/06524
. . . . .
Electrical connections formed on device or on substrate, e.g. a deposited or grown layer [2013-01]
H01L 2225/06527
. . . . .
Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout [2013-01]
H01L 2225/06531
. . . . . .
Non-galvanic coupling, e.g. capacitive coupling [2013-01]
H01L 2225/06534
. . . . . . .
Optical coupling [2013-01]
H01L 2225/06537
. . . . . .
Electromagnetic shielding [2013-01]
H01L 2225/06541
. . . . .
Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV] (manufacturing via connections per se H01L 21/76898) [2013-01]
H01L 2225/06544
. . . . . .
Design considerations for via connections, e.g. geometry or layout [2013-01]
H01L 2225/06548
. . . . .
Conductive via connections through the substrate, container, or encapsulation [2013-01]
H01L 2225/06551
. . . . .
Conductive connections on the side of the device [2013-01]
H01L 2225/06555
. . . . .
Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking [2013-01]
H01L 2225/06558
. . . . . .
the devices having passive surfaces facing each other, i.e. in a back-to-back arrangement [2013-01]
H01L 2225/06562
. . . . . .
at least one device in the stack being rotated or offset [2013-01]
H01L 2225/06565
. . . . . .
the devices having the same size and there being no auxiliary carrier between the devices [2013-01]
H01L 2225/06568
. . . . . .
the devices decreasing in size, e.g. pyramidical stack [2013-01]
H01L 2225/06572
. . . . .
Auxiliary carrier between devices, the carrier having an electrical connection structure [2013-01]
H01L 2225/06575
. . . . .
Auxiliary carrier between devices, the carrier having no electrical connection structure [2013-01]
H01L 2225/06579
. . . . .
TAB carriers; beam leads [2013-01]
H01L 2225/06582
. . . . .
Housing for the assembly, e.g. chip scale package [CSP] [2013-01]
H01L 2225/06586
. . . . . .
Housing with external bump or bump-like connectors [2013-01]
H01L 2225/06589
. . . . .
Thermal management, e.g. cooling [2013-01]
H01L 2225/06593
. . . . .
Mounting aids permanently on device; arrangements for alignment (use of temporary supports H01L 21/6835) [2013-01]
H01L 2225/06596
. . . . .
Structural arrangements for testing (testing or measuring during manufacture or treatment H01L 22/00; testing electrical properties or locating electrical faults G01R 31/00) [2013-01]
H01L 2225/10
. .
the devices having separate containers [2013-01]
H01L 2225/1005
. . .
the devices being of a type provided for in group H01L 27/00 [2013-01]
H01L 2225/1011
. . . .
the containers being in a stacked arrangement [2013-01]
H01L 2225/1017
. . . . .
the lowermost container comprising a device support [2013-01]
H01L 2225/1023
. . . . . .
the support being an insulating substrate [2013-01]
H01L 2225/1029
. . . . . .
the support being a lead frame [2013-01]
H01L 2225/1035
. . . . . .
the device being entirely enclosed by the support, e.g. high-density interconnect [HDI] [2013-01]
H01L 2225/1041
. . . . .
Special adaptations for top connections of the lowermost container, e.g. redistribution layer, integral interposer [2013-01]
H01L 2225/1047
. . . . .
Details of electrical connections between containers [2013-01]
H01L 2225/1052
. . . . . .
Wire or wire-like electrical connections [2013-01]
H01L 2225/1058
. . . . . .
Bump or bump-like electrical connections, e.g. balls, pillars, posts [2013-01]
H01L 2225/1064
. . . . . .
Electrical connections provided on a side surface of one or more of the containers [2013-01]
H01L 2225/107
. . . . . .
Indirect electrical connections, e.g. via an interposer, a flexible substrate, using TAB (printed circuits H05K 1/00) [2013-01]
H01L 2225/1076
. . . . .
Shape of the containers [2013-01]
H01L 2225/1082
. . . . . .
for improving alignment between containers, e.g. interlocking features [2013-01]
H01L 2225/1088
. . . . . .
Arrangements to limit the height of the assembly [2013-01]
H01L 2225/1094
. . . . .
Thermal management, e.g. cooling [2013-01]
H01L 2229/00
Indexing scheme for semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, for details of semiconductor bodies or of electrodes thereof, or for multistep manufacturing processes therefor [2013-01]
H01L 2924/00
Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L 24/00 [2013-01]
H01L 2924/0001
.
Technical content checked by a classifier [2016-05]
NOTE

H01L 2924/00011
. .
Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group [2013-01]
H01L 2924/00012
. .
Relevant to the scope of the group, the symbol of which is combined with the symbol of this group [2013-01]
H01L 2924/00013
. .
Fully indexed content [2013-01]
H01L 2924/00014
. .
the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details [2013-01]
H01L 2924/00015
. .
the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed as prior art [2013-01]
H01L 2924/0002
. .
Not covered by any one of groups H01L 24/00, H01L 24/00 and H01L 2224/00 [2013-01]
H01L 2924/01
.
Chemical elements [2013-01]
H01L 2924/01001
. .
Hydrogen [H] [2013-01]
H01L 2924/01002
. .
Helium [He] [2013-01]
H01L 2924/01003
. .
Lithium [Li] [2013-01]
H01L 2924/01004
. .
Beryllium [Be] [2013-01]
H01L 2924/01005
. .
Boron [B] [2013-01]
H01L 2924/01006
. .
Carbon [C] [2013-01]
H01L 2924/01007
. .
Nitrogen [N] [2013-01]
H01L 2924/01008
. .
Oxygen [O] [2013-01]
H01L 2924/01009
. .
Fluorine [F] [2013-01]
H01L 2924/0101
. .
Neon [Ne] [2013-01]
H01L 2924/01011
. .
Sodium [Na] [2013-01]
H01L 2924/01012
. .
Magnesium [Mg] [2013-01]
H01L 2924/01013
. .
Aluminum [Al] [2013-01]
H01L 2924/01014
. .
Silicon [Si] [2013-01]
H01L 2924/01015
. .
Phosphorus [P] [2013-01]
H01L 2924/01016
. .
Sulfur [S] [2013-01]
H01L 2924/01017
. .
Chlorine [Cl] [2013-01]
H01L 2924/01018
. .
Argon [Ar] [2013-01]
H01L 2924/01019
. .
Potassium [K] [2013-01]
H01L 2924/0102
. .
Calcium [Ca] [2013-01]
H01L 2924/01021
. .
Scandium [Sc] [2013-01]
H01L 2924/01022
. .
Titanium [Ti] [2013-01]
H01L 2924/01023
. .
Vanadium [V] [2013-01]
H01L 2924/01024
. .
Chromium [Cr] [2013-01]
H01L 2924/01025
. .
Manganese [Mn] [2013-01]
H01L 2924/01026
. .
Iron [Fe] [2013-01]
H01L 2924/01027
. .
Cobalt [Co] [2013-01]
H01L 2924/01028
. .
Nickel [Ni] [2013-01]
H01L 2924/01029
. .
Copper [Cu] [2013-01]
H01L 2924/0103
. .
Zinc [Zn] [2013-01]
H01L 2924/01031
. .
Gallium [Ga] [2013-01]
H01L 2924/01032
. .
Germanium [Ge] [2013-01]
H01L 2924/01033
. .
Arsenic [As] [2013-01]
H01L 2924/01034
. .
Selenium [Se] [2013-01]
H01L 2924/01035
. .
Bromine [Br] [2013-01]
H01L 2924/01036
. .
Krypton [Kr] [2013-01]
H01L 2924/01037
. .
Rubidium [Rb] [2013-01]
H01L 2924/01038
. .
Strontium [Sr] [2013-01]
H01L 2924/01039
. .
Yttrium [Y] [2013-01]
H01L 2924/0104
. .
Zirconium [Zr] [2013-01]
H01L 2924/01041
. .
Niobium [Nb] [2013-01]
H01L 2924/01042
. .
Molybdenum [Mo] [2013-01]
H01L 2924/01043
. .
Technetium [Tc] [2013-01]
H01L 2924/01044
. .
Ruthenium [Ru] [2013-01]
H01L 2924/01045
. .
Rhodium [Rh] [2013-01]
H01L 2924/01046
. .
Palladium [Pd] [2013-01]
H01L 2924/01047
. .
Silver [Ag] [2013-01]
H01L 2924/01048
. .
Cadmium [Cd] [2013-01]
H01L 2924/01049
. .
Indium [In] [2013-01]
H01L 2924/0105
. .
Tin [Sn] [2013-01]
H01L 2924/01051
. .
Antimony [Sb] [2013-01]
H01L 2924/01052
. .
Tellurium [Te] [2013-01]
H01L 2924/01053
. .
Iodine [I] [2013-01]
H01L 2924/01054
. .
Xenon [Xe] [2013-01]
H01L 2924/01055
. .
Cesium [Cs] [2013-01]
H01L 2924/01056
. .
Barium [Ba] [2013-01]
H01L 2924/01057
. .
Lanthanum [La] [2013-01]
H01L 2924/01058
. .
Cerium [Ce] [2013-01]
H01L 2924/01059
. .
Praseodymium [Pr] [2013-01]
H01L 2924/0106
. .
Neodymium [Nd] [2013-01]
H01L 2924/01061
. .
Promethium [Pm] [2013-01]
H01L 2924/01062
. .
Samarium [Sm] [2013-01]
H01L 2924/01063
. .
Europium [Eu] [2013-01]
H01L 2924/01064
. .
Gadolinium [Gd] [2013-01]
H01L 2924/01065
. .
Terbium [Tb] [2013-01]
H01L 2924/01066
. .
Dysprosium [Dy] [2013-01]
H01L 2924/01067
. .
Holmium [Ho] [2013-01]
H01L 2924/01068
. .
Erbium [Er] [2013-01]
H01L 2924/01069
. .
Thulium [Tm] [2013-01]
H01L 2924/0107
. .
Ytterbium [Yb] [2013-01]
H01L 2924/01071
. .
Lutetium [Lu] [2013-01]
H01L 2924/01072
. .
Hafnium [Hf] [2013-01]
H01L 2924/01073
. .
Tantalum [Ta] [2013-01]
H01L 2924/01074
. .
Tungsten [W] [2013-01]
H01L 2924/01075
. .
Rhenium [Re] [2013-01]
H01L 2924/01076
. .
Osmium [Os] [2013-01]
H01L 2924/01077
. .
Iridium [Ir] [2013-01]
H01L 2924/01078
. .
Platinum [Pt] [2013-01]
H01L 2924/01079
. .
Gold [Au] [2013-01]
H01L 2924/0108
. .
Mercury [Hg] [2013-01]
H01L 2924/01081
. .
Thallium [Tl] [2013-01]
H01L 2924/01082
. .
Lead [Pb] [2013-01]
H01L 2924/01083
. .
Bismuth [Bi] [2013-01]
H01L 2924/01084
. .
Polonium [Po] [2013-01]
H01L 2924/01085
. .
Astatine [At] [2013-01]
H01L 2924/01086
. .
Radon [Rn] [2013-01]
H01L 2924/01087
. .
Francium [Fr] [2013-01]
H01L 2924/01088
. .
Radium [Ra] [2013-01]
H01L 2924/01089
. .
Actinium [Ac] [2013-01]
H01L 2924/0109
. .
Thorium [Th] [2013-01]
H01L 2924/01091
. .
Protactinium [Pa] [2013-01]
H01L 2924/01092
. .
Uranium [U] [2013-01]
H01L 2924/01093
. .
Neptunium [Np] [2013-01]
H01L 2924/01094
. .
Plutonium [Pu] [2013-01]
H01L 2924/011
.
Groups of the periodic table [2013-01]
H01L 2924/01101
. .
Alkali metals [2013-01]
H01L 2924/01102
. .
Alkali earth metals [2013-01]
H01L 2924/01103
. .
Transition metals [2013-01]
H01L 2924/01104
. .
Refractory metals [2013-01]
H01L 2924/01105
. .
Rare earth metals [2013-01]
H01L 2924/01106
. . .
Lanthanides, i.e. Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu [2013-01]
H01L 2924/01107
. . .
Actinides, i.e. Th, Pa, U, Np, Pu, Am, Cm, Bk, Cf, Es, Fm, Md, No, Lr [2013-01]
H01L 2924/01108
. .
Noble metals [2013-01]
H01L 2924/01109
. .
Metalloids or Semi-metals [2013-01]
H01L 2924/0111
. .
Chalcogens [2013-01]
H01L 2924/01111
. .
Halogens [2013-01]
H01L 2924/01112
. .
Noble gases [2013-01]
H01L 2924/012
.
Semiconductor purity grades [2013-01]
H01L 2924/01201
. .
1N purity grades, i.e. 90% [2013-01]
H01L 2924/01202
. .
2N purity grades, i.e. 99% [2013-01]
H01L 2924/01203
. .
3N purity grades, i.e. 99.9% [2013-01]
H01L 2924/01204
. .
4N purity grades, i.e. 99.99% [2013-01]
H01L 2924/01205
. .
5N purity grades, i.e. 99.999% [2013-01]
H01L 2924/01206
. .
6N purity grades, i.e. 99.9999% [2013-01]
H01L 2924/01207
. .
7N purity grades, i.e. 99.99999% [2013-01]
H01L 2924/01208
. .
8N purity grades, i.e. 99.999999% [2013-01]
H01L 2924/013
.
Alloys [2013-01]
H01L 2924/0132
. .
Binary Alloys [2013-01]
H01L 2924/01321
. . .
Isomorphous Alloys [2013-01]
H01L 2924/01322
. . .
Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases [2016-08]
H01L 2924/01323
. . . .
Hypoeutectic alloys i.e. with compositions lying to the left of the eutectic point [2017-01]
H01L 2924/01324
. . . .
Hypereutectic alloys i.e. with compositions lying to the right of the eutectic point [2017-01]
H01L 2924/01325
. . .
Peritectic Alloys, i.e. obtained by a liquid and a solid transforming into a new and different solid phase [2016-08]
H01L 2924/01326
. . .
Monotectics, i.e. obtained by a liquid transforming into a solid and a new and different liquid phase [2016-08]
H01L 2924/01327
. . .
Intermediate phases, i.e. intermetallics compounds [2016-08]
H01L 2924/0133
. .
Ternary Alloys [2013-01]
H01L 2924/0134
. .
Quaternary Alloys [2013-01]
H01L 2924/0135
. .
Quinary Alloys [2013-01]
H01L 2924/014
. .
Solder alloys [2013-01]
H01L 2924/01402
. .
Invar, i.e. single-phase alloy of around 36% nickel and 64% iron [2013-01]
H01L 2924/01403
. .
Kovar, i.e. FeNiCo alloys [2013-01]
H01L 2924/01404
. .
Alloy 42, i.e. FeNi42 [2013-01]
H01L 2924/01405
. .
Inovco, i.e. Fe-33Ni-4.5Co [2013-01]
H01L 2924/042
.
Borides composed of metals from groups of the periodic table [2013-01]
H01L 2924/0421
. .
1st Group [2013-01]
H01L 2924/0422
. .
2nd Group [2013-01]
H01L 2924/0423
. .
3rd Group [2013-01]
H01L 2924/0424
. .
4th Group [2013-01]
H01L 2924/0425
. .
5th Group [2013-01]
H01L 2924/0426
. .
6th Group [2013-01]
H01L 2924/0427
. .
7th Group [2013-01]
H01L 2924/0428
. .
8th Group [2013-01]
H01L 2924/0429
. .
9th Group [2013-01]
H01L 2924/044
. .
10th Group [2013-01]
H01L 2924/0441
. .
11th Group [2013-01]
H01L 2924/0442
. .
12th Group [2013-01]
H01L 2924/0443
. .
13th Group [2013-01]
H01L 2924/0444
. .
14th Group [2013-01]
H01L 2924/0445
. .
Lanthanides [2013-01]
H01L 2924/0446
. .
Actinides [2013-01]
H01L 2924/0449
. .
being a combination of two or more materials provided in the groups H01L 2924/0421 - H01L 2924/0446 [2016-05]
H01L 2924/04491
. .
having a monocrystalline microstructure [2013-01]
H01L 2924/04492
. .
having a polycrystalline microstructure [2013-01]
H01L 2924/04494
. .
having an amorphous microstructure, i.e. glass [2016-08]
H01L 2924/045
.
Carbides composed of metals from groups of the periodic table [2013-01]
H01L 2924/0451
. .
1st Group [2013-01]
H01L 2924/0452
. .
2nd Group [2013-01]
H01L 2924/0453
. .
3rd Group [2013-01]
H01L 2924/0454
. .
4th Group [2013-01]
H01L 2924/04541
. . .
TiC [2013-01]
H01L 2924/0455
. .
5th Group [2013-01]
H01L 2924/0456
. .
6th Group [2013-01]
H01L 2924/04563
. . .
WC [2013-01]
H01L 2924/0457
. .
7th Group [2013-01]
H01L 2924/0458
. .
8th Group [2013-01]
H01L 2924/0459
. .
9th Group [2013-01]
H01L 2924/046
. .
10th Group [2013-01]
H01L 2924/0461
. .
11th Group [2013-01]
H01L 2924/0462
. .
12th Group [2013-01]
H01L 2924/0463
. .
13th Group [2013-01]
H01L 2924/0464
. .
14th Group [2013-01]
H01L 2924/04642
. . .
SiC [2013-01]
H01L 2924/0465
. .
Lanthanides [2013-01]
H01L 2924/0466
. .
Actinides [2013-01]
H01L 2924/0469
. .
being a combination of two or more materials provided in the groups H01L 2924/0451 - H01L 2924/0466 [2016-05]
H01L 2924/04691
. .
having a monocrystalline microstructure [2013-01]
H01L 2924/04692
. .
having a polycrystalline microstructure [2013-01]
H01L 2924/04694
. .
having an amorphous microstructure, i.e. glass [2016-08]
H01L 2924/047
.
Silicides composed of metals from groups of the periodic table [2013-01]
H01L 2924/0471
. .
1st Group [2013-01]
H01L 2924/0472
. .
2nd Group [2013-01]
H01L 2924/0473
. .
3rd Group [2013-01]
H01L 2924/0474
. .
4th Group [2013-01]
H01L 2924/0475
. .
5th Group [2013-01]
H01L 2924/0476
. .
6th Group [2013-01]
H01L 2924/0477
. .
7th Group [2013-01]
H01L 2924/0478
. .
8th Group [2013-01]
H01L 2924/0479
. .
9th Group [2013-01]
H01L 2924/048
. .
10th Group [2013-01]
H01L 2924/0481
. .
11th Group [2013-01]
H01L 2924/0482
. .
12th Group [2013-01]
H01L 2924/0483
. .
13th Group [2013-01]
H01L 2924/0484
. .
14th Group [2013-01]
H01L 2924/0485
. .
Lanthanides [2013-01]
H01L 2924/0486
. .
Actinides [2013-01]
H01L 2924/0489
. .
being a combination of two or more materials provided in the groups H01L 2924/0471 - H01L 2924/0486 [2016-05]
H01L 2924/04891
. .
having a monocrystalline microstructure [2013-01]
H01L 2924/04892
. .
having a polycrystalline microstructure [2013-01]
H01L 2924/04894
. .
having an amorphous microstructure, i.e. glass [2016-08]
H01L 2924/049
.
Nitrides composed of metals from groups of the periodic table [2013-01]
H01L 2924/0491
. .
1st Group [2013-01]
H01L 2924/0492
. .
2nd Group [2013-01]
H01L 2924/0493
. .
3rd Group [2013-01]
H01L 2924/0494
. .
4th Group [2013-01]
H01L 2924/04941
. . .
TiN [2013-01]
H01L 2924/0495
. .
5th Group [2013-01]
H01L 2924/04953
. . .
TaN [2013-01]
H01L 2924/0496
. .
6th Group [2013-01]
H01L 2924/0497
. .
7th Group [2013-01]
H01L 2924/0498
. .
8th Group [2013-01]
H01L 2924/0499
. .
9th Group [2013-01]
H01L 2924/05
. .
10th Group [2013-01]
H01L 2924/0501
. .
11th Group [2013-01]
H01L 2924/0502
. .
12th Group [2013-01]
H01L 2924/0503
. .
13th Group [2013-01]
H01L 2924/05032
. . .
AlN [2013-01]
H01L 2924/0504
. .
14th Group [2013-01]
H01L 2924/05042
. . .
Si3N4 [2013-01]
H01L 2924/0505
. .
Lanthanides [2013-01]
H01L 2924/0506
. .
Actinides [2013-01]
H01L 2924/0509
. .
being a combination of two or more materials provided in the groups H01L 2924/0491 - H01L 2924/0506 [2016-05]
H01L 2924/05091
. .
having a monocrystalline microstructure [2013-01]
H01L 2924/05092
. .
having a polycrystalline microstructure [2013-01]
H01L 2924/05094
. .
having an amorphous microstructure, i.e. glass [2016-08]
H01L 2924/051
.
Phosphides composed of metals from groups of the periodic table [2013-01]
H01L 2924/0511
. .
1st Group [2013-01]
H01L 2924/0512
. .
2nd Group [2013-01]
H01L 2924/0513
. .
3rd Group [2013-01]
H01L 2924/0514
. .
4th Group [2013-01]
H01L 2924/0515
. .
5th Group [2013-01]
H01L 2924/0516
. .
6th Group [2013-01]
H01L 2924/0517
. .
7th Group [2013-01]
H01L 2924/0518
. .
8th Group [2013-01]
H01L 2924/0519
. .
9th Group [2013-01]
H01L 2924/052
. .
10th Group [2013-01]
H01L 2924/0521
. .
11th Group [2013-01]
H01L 2924/0522
. .
12th Group [2013-01]
H01L 2924/0523
. .
13th Group [2013-01]
H01L 2924/0524
. .
14th Group [2013-01]
H01L 2924/0525
. .
Lanthanides [2013-01]
H01L 2924/0526
. .
Actinides [2013-01]
H01L 2924/0529
. .
being a combination of two or more materials provided in the groups H01L 2924/0511 - H01L 2924/0526 [2016-05]
H01L 2924/05291
. .
having a monocrystalline microstructure [2013-01]
H01L 2924/05292
. .
having a polycrystalline microstructure [2013-01]
H01L 2924/05294
. .
having an amorphous microstructure, i.e. glass [2016-08]
H01L 2924/053
.
Oxides composed of metals from groups of the periodic table [2013-01]
H01L 2924/0531
. .
1st Group [2013-01]
H01L 2924/0532
. .
2nd Group [2013-01]
H01L 2924/0533
. .
3rd Group [2013-01]
H01L 2924/0534
. .
4th Group [2013-01]
H01L 2924/05341
. . .
TiO2 [2013-01]
H01L 2924/05342
. . .
ZrO2 [2013-01]
H01L 2924/0535
. .
5th Group [2013-01]
H01L 2924/0536
. .
6th Group [2013-01]
H01L 2924/0537
. .
7th Group [2013-01]
H01L 2924/0538
. .
8th Group [2013-01]
H01L 2924/05381
. . .
FeOx [2013-01]
H01L 2924/0539
. .
9th Group [2013-01]
H01L 2924/054
. .
10th Group [2013-01]
H01L 2924/0541
. .
11th Group [2013-01]
H01L 2924/0542
. .
12th Group [2013-01]
H01L 2924/0543
. .
13th Group [2013-01]
H01L 2924/05432
. . .
Al2O3 [2013-01]
H01L 2924/0544
. .
14th Group [2013-01]
H01L 2924/05442
. . .
SiO2 [2013-01]
H01L 2924/0545
. .
Lanthanides [2013-01]
H01L 2924/0546
. .
Actinides [2013-01]
H01L 2924/0549
. .
being a combination of two or more materials provided in the groups H01L 2924/0531 - H01L 2924/0546 [2016-05]
H01L 2924/05491
. .
having a monocrystalline microstructure [2013-01]
H01L 2924/05492
. .
having a polycrystalline microstructure [2013-01]
H01L 2924/05494
. .
having an amorphous microstructure, i.e. glass [2016-08]
H01L 2924/055
.
Chalcogenides other than oxygen i.e. sulfides, selenides and tellurides composed of metals from groups of the periodic table [2017-01]
H01L 2924/0551
. .
1st Group [2013-01]
H01L 2924/0552
. .
2nd Group [2013-01]
H01L 2924/0553
. .
3rd Group [2013-01]
H01L 2924/0554
. .
4th Group [2013-01]
H01L 2924/0555
. .
5th Group [2013-01]
H01L 2924/0556
. .
6th Group [2013-01]
H01L 2924/0557
. .
7th Group [2013-01]
H01L 2924/0558
. .
8th Group [2013-01]
H01L 2924/0559
. .
9th Group [2013-01]
H01L 2924/056
. .
10th Group [2013-01]
H01L 2924/0561
. .
11th Group [2013-01]
H01L 2924/0562
. .
12th Group [2013-01]
H01L 2924/0563
. .
13th Group [2013-01]
H01L 2924/0564
. .
14th Group [2013-01]
H01L 2924/0565
. .
Lanthanides [2013-01]
H01L 2924/0566
. .
Actinides [2013-01]
H01L 2924/0569
. .
being a combination of two or more materials provided in the groups H01L 2924/0551 - H01L 2924/0566 [2016-05]
H01L 2924/05691
. .
having a monocrystalline microstructure [2013-01]
H01L 2924/05692
. .
having a polycrystalline microstructure [2013-01]
H01L 2924/05694
. .
having an amorphous microstructure, i.e. glass [2016-08]
H01L 2924/057
.
Halides composed of metals from groups of the periodic table [2013-01]
H01L 2924/0571
. .
1st Group [2013-01]
H01L 2924/0572
. .
2nd Group [2013-01]
H01L 2924/0573
. .
3rd Group [2013-01]
H01L 2924/0574
. .
4th Group [2013-01]
H01L 2924/0575
. .
5th Group [2013-01]
H01L 2924/0576
. .
6th Group [2013-01]
H01L 2924/0577
. .
7th Group [2013-01]
H01L 2924/0578
. .
8th Group [2013-01]
H01L 2924/0579
. .
9th Group [2013-01]
H01L 2924/058
. .
10th Group [2013-01]
H01L 2924/0581
. .
11th Group [2013-01]
H01L 2924/0582
. .
12th Group [2013-01]
H01L 2924/0583
. .
13th Group [2013-01]
H01L 2924/0584
. .
14th Group [2013-01]
H01L 2924/0585
. .
Lanthanides [2013-01]
H01L 2924/0586
. .
Actinides [2013-01]
H01L 2924/0589
. .
being a combination of two or more materials provided in the groups H01L 2924/0571 - H01L 2924/0586 [2016-05]
H01L 2924/05891
. .
having a monocrystalline microstructure [2013-01]
H01L 2924/05892
. .
having a polycrystalline microstructure [2013-01]
H01L 2924/05894
. .
having an amorphous microstructure, i.e. glass [2016-08]
H01L 2924/059
.
Being combinations of any of the materials from the groups H01L 2924/042 - H01L 2924/0584, e.g. oxynitrides [2016-08]
H01L 2924/05991
. .
having a monocrystalline microstructure [2013-01]
H01L 2924/05992
. .
having a polycrystalline microstructure [2013-01]
H01L 2924/05994
. .
having an amorphous microstructure, i.e. glass [2016-08]
H01L 2924/06
.
Polymers (polymers per se C08; polymer adhesives C09J) [2013-01]
H01L 2924/061
. .
Polyolefin polymer [2013-01]
H01L 2924/0615
. .
Styrenic polymer [2013-01]
H01L 2924/062
. .
Halogenated polymer [2013-01]
H01L 2924/0625
. .
Polyvinyl alchohol [2013-01]
H01L 2924/063
. .
Polyvinyl acetate [2013-01]
H01L 2924/0635
. .
Acrylic polymer [2013-01]
H01L 2924/064
. .
Graft polymer [2013-01]
H01L 2924/0645
. .
Block copolymer [2013-01]
H01L 2924/065
. .
ABS [2013-01]
H01L 2924/0655
. .
Polyacetal [2013-01]
H01L 2924/066
. .
Phenolic resin [2013-01]
H01L 2924/0665
. .
Epoxy resin [2013-01]
H01L 2924/067
. .
Polyphenylene [2013-01]
H01L 2924/0675
. .
Polyester [2013-01]
H01L 2924/068
. .
Polycarbonate [2013-01]
H01L 2924/0685
. .
Polyether [2013-01]
H01L 2924/069
. .
Polyurethane [2013-01]
H01L 2924/0695
. .
Polyamide [2013-01]
H01L 2924/07
. .
Polyamine or polyimide [2013-01]
H01L 2924/07001
. . .
Polyamine [2013-01]
H01L 2924/07025
. . .
Polyimide [2013-01]
H01L 2924/0705
. .
Sulfur containing polymer [2013-01]
H01L 2924/0715
. .
Polysiloxane [2013-01]
H01L 2924/078
. .
Adhesive characteristics other than chemical [2013-01]
H01L 2924/07802
. . .
not being an ohmic electrical conductor [2013-01]
H01L 2924/0781
. . .
being an ohmic electrical conductor [2013-01]
H01L 2924/07811
. . . .
Extrinsic, i.e. with electrical conductive fillers [2013-01]
H01L 2924/07812
. . . .
Intrinsic, e.g. polyaniline [PANI] [2013-01]
H01L 2924/0782
. . .
being pressure sensitive [2013-01]
H01L 2924/095
.
with a principal constituent of the material being a combination of two or more materials provided in the groups H01L 2924/013 - H01L 2924/0715 [2016-05]
H01L 2924/0951
. .
Glass epoxy laminates [2013-01]
H01L 2924/09511
. . .
FR-4 [2013-01]
H01L 2924/09512
. . .
FR-5 [2013-01]
H01L 2924/09522
. . .
G10 [2013-01]
H01L 2924/09523
. . .
G11 [2013-01]
H01L 2924/096
. .
Cermets, i.e. composite material composed of ceramic and metallic materials [2013-01]
H01L 2924/097
. .
Glass-ceramics, e.g. devitrified glass [2016-08]
H01L 2924/09701
. . .
Low temperature co-fired ceramic [LTCC] [2013-01]
H01L 2924/10
.
Details of semiconductor or other solid state devices to be connected [2013-01]
H01L 2924/1011
. .
Structure [2013-01]
H01L 2924/1015
. .
Shape [2013-01]
H01L 2924/10155
. . .
being other than a cuboid [2013-01]
H01L 2924/10156
. . . .
at the periphery [2013-01]
H01L 2924/10157
. . . .
at the active surface [2013-01]
H01L 2924/10158
. . . .
at the passive surface [2013-01]
H01L 2924/1016
. . .
being a cuboid [2013-01]
H01L 2924/10161
. . . .
with a rectangular active surface [2013-01]
H01L 2924/10162
. . . .
with a square active surface [2013-01]
H01L 2924/1017
. . .
being a sphere [2013-01]
H01L 2924/102
. .
Material of the semiconductor or solid state bodies [2013-01]
H01L 2924/1025
. . .
Semiconducting materials [2013-01]
H01L 2924/10251
. . . .
Elemental semiconductors, i.e. Group IV [2016-08]
H01L 2924/10252
. . . . .
Germanium [Ge] [2013-01]
H01L 2924/10253
. . . . .
Silicon [Si] [2013-01]
H01L 2924/10254
. . . . .
Diamond [C] [2013-01]
H01L 2924/1026
. . . .
Compound semiconductors [2013-01]
H01L 2924/1027
. . . . .
IV [2013-01]
H01L 2924/10271
. . . . . .
Silicon-germanium [SiGe] [2013-01]
H01L 2924/10272
. . . . . .
Silicon Carbide [SiC] [2013-01]
H01L 2924/1032
. . . . .
III-V [2013-01]
H01L 2924/10321
. . . . . .
Aluminium antimonide [AlSb] [2013-01]
H01L 2924/10322
. . . . . .
Aluminium arsenide [AlAs] [2013-01]
H01L 2924/10323
. . . . . .
Aluminium nitride [AlN] [2013-01]
H01L 2924/10324
. . . . . .
Aluminium phosphide [AlP] [2013-01]
H01L 2924/10325
. . . . . .
Boron nitride [BN], e.g. cubic, hexagonal, nanotube [2013-01]
H01L 2924/10326
. . . . . .
Boron phosphide [BP] [2013-01]
H01L 2924/10327
. . . . . .
Boron arsenide [BAs, B12As2] [2013-01]
H01L 2924/10328
. . . . . .
Gallium antimonide [GaSb] [2013-01]
H01L 2924/10329
. . . . . .
Gallium arsenide [GaAs] [2013-01]
H01L 2924/1033
. . . . . .
Gallium nitride [GaN] [2013-01]
H01L 2924/10331
. . . . . .
Gallium phosphide [GaP] [2013-01]
H01L 2924/10332
. . . . . .
Indium antimonide [InSb] [2013-01]
H01L 2924/10333
. . . . . .
Indium arsenide [InAs] [2013-01]
H01L 2924/10334
. . . . . .
Indium nitride [InN] [2013-01]
H01L 2924/10335
. . . . . .
Indium phosphide [InP] [2013-01]
H01L 2924/10336
. . . . . .
Aluminium gallium arsenide [AlGaAs] [2013-01]
H01L 2924/10337
. . . . . .
Indium gallium arsenide [InGaAs] [2013-01]
H01L 2924/10338
. . . . . .
Indium gallium phosphide [InGaP] [2013-01]
H01L 2924/10339
. . . . . .
Aluminium indium arsenide [AlInAs] [2013-01]
H01L 2924/1034
. . . . . .
Aluminium indium antimonide [AlInSb] [2013-01]
H01L 2924/10341
. . . . . .
Gallium arsenide nitride [GaAsN] [2013-01]
H01L 2924/10342
. . . . . .
Gallium arsenide phosphide [GaAsP] [2013-01]
H01L 2924/10343
. . . . . .
Gallium arsenide antimonide [GaAsSb] [2013-01]
H01L 2924/10344
. . . . . .
Aluminium gallium nitride [AlGaN] [2013-01]
H01L 2924/10345
. . . . . .
Aluminium gallium phosphide [AlGaP] [2013-01]
H01L 2924/10346
. . . . . .
Indium gallium nitride [InGaN] [2013-01]
H01L 2924/10347
. . . . . .
Indium arsenide antimonide [InAsSb] [2013-01]
H01L 2924/10348
. . . . . .
Indium gallium antimonide [InGaSb] [2013-01]
H01L 2924/10349
. . . . . .
Aluminium gallium indium phosphide [AlGaInP] [2013-01]
H01L 2924/1035
. . . . . .
Aluminium gallium arsenide phosphide [AlGaInP] [2013-01]
H01L 2924/10351
. . . . . .
Indium gallium arsenide phosphide [InGaAsP] [2013-01]
H01L 2924/10352
. . . . . .
Indium gallium arsenide antimonide [InGaAsSb] [2013-01]
H01L 2924/10353
. . . . . .
Indium arsenide antimonide phosphide [InAsSbP] [2013-01]
H01L 2924/10354
. . . . . .
Aluminium indium arsenide phosphide [AlInAsP] [2013-01]
H01L 2924/10355
. . . . . .
Aluminium gallium arsenide nitride [AlGaAsN] [2013-01]
H01L 2924/10356
. . . . . .
Indium gallium arsenide nitride [InGaAsN] [2013-01]
H01L 2924/10357
. . . . . .
Indium aluminium arsenide nitride [InAlAsN] [2013-01]
H01L 2924/10358
. . . . . .
Gallium arsenide antimonide nitride [GaAsSbN] [2013-01]
H01L 2924/10359
. . . . . .
Gallium indium nitride arsenide antimonide [GaInNAsSb] [2013-01]
H01L 2924/1036
. . . . . .
Gallium indium arsenide antimonide phosphide [GaInAsSbP] [2013-01]
H01L 2924/1037
. . . . .
II-VI [2013-01]
H01L 2924/10371
. . . . . .
Cadmium selenide [CdSe] [2013-01]
H01L 2924/10372
. . . . . .
Cadmium sulfide [CdS] [2013-01]
H01L 2924/10373
. . . . . .
Cadmium telluride [CdTe] [2013-01]
H01L 2924/10375
. . . . . .
Zinc selenide [ZnSe] [2013-01]
H01L 2924/10376
. . . . . .
Zinc sulfide [ZnS] [2013-01]
H01L 2924/10377
. . . . . .
Zinc telluride [ZnTe] [2013-01]
H01L 2924/10378
. . . . . .
Cadmium zinc telluride, i.e. CZT [CdZnTe] [2013-01]
H01L 2924/10379
. . . . . .
Mercury cadmium telluride [HgZnTe] [2013-01]
H01L 2924/1038
. . . . . .
Mercury zinc telluride [HgZnSe] [2013-01]
H01L 2924/10381
. . . . . .
Mercury zinc selenide [HgZnSe] [2013-01]
H01L 2924/1042
. . . . .
I-VII [2013-01]
H01L 2924/10421
. . . . . .
Cuprous chloride [CuCl] [2013-01]
H01L 2924/1047
. . . . .
I-VI [2013-01]
H01L 2924/10471
. . . . . .
Copper sulfide [CuS] [2013-01]
H01L 2924/1052
. . . . .
IV-VI [2013-01]
H01L 2924/10521
. . . . . .
Lead selenide [PbSe] [2013-01]
H01L 2924/10522
. . . . . .
Lead(II)sulfide [PbS] [2013-01]
H01L 2924/10523
. . . . . .
Lead telluride [PbTe] [2013-01]
H01L 2924/10524
. . . . . .
Tin sulfide [SnS, SnS2] [2013-01]
H01L 2924/10525
. . . . . .
Tin telluride [SnTe] [2013-01]
H01L 2924/10526
. . . . . .
Lead tin telluride [PbSnTe] [2013-01]
H01L 2924/10527
. . . . . .
Thallium tin telluride [Tl2SnTe5] [2013-01]
H01L 2924/10528
. . . . . .
Thallium germanium telluride [Tl2GeTe5] [2013-01]
H01L 2924/1057
. . . . .
V-VI [2013-01]
H01L 2924/10571
. . . . . .
Bismuth telluride [Bi2Te3] [2013-01]
H01L 2924/1062
. . . . .
II-V [2013-01]
H01L 2924/10621
. . . . . .
Cadmium phosphide [Cd3P2] [2013-01]
H01L 2924/10622
. . . . . .
Cadmium arsenide [Cd3As2] [2013-01]
H01L 2924/10623
. . . . . .
Cadmium antimonide [Cd3Sb2] [2013-01]
H01L 2924/10624
. . . . . .
Zinc phosphide [Zn3P2] [2013-01]
H01L 2924/10625
. . . . . .
Zinc arsenide [Zn3As2] [2013-01]
H01L 2924/10626
. . . . . .
Zinc antimonide [Zn3Sb2] [2013-01]
H01L 2924/1067
. . . . .
Oxide [2013-01]
H01L 2924/10671
. . . . . .
Titanium dioxide, anatase, rutile, brookite [TiO2] [2013-01]
H01L 2924/10672
. . . . . .
Copper(I)oxide [Cu2O] [2013-01]
H01L 2924/10673
. . . . . .
Copper(II)oxide [CuO] [2013-01]
H01L 2924/10674
. . . . . .
Uranium dioxide [UO2] [2013-01]
H01L 2924/10675
. . . . . .
Uranium trioxide [UO3] [2013-01]
H01L 2924/10676
. . . . . .
Bismuth trioxide [Bi2O3] [2013-01]
H01L 2924/10677
. . . . . .
Tin dioxide [SnO2] [2013-01]
H01L 2924/10678
. . . . . .
Barium titanate [BaTiO3] [2013-01]
H01L 2924/10679
. . . . . .
Strontium titanate [SrTiO3] [2013-01]
H01L 2924/1068
. . . . . .
Lithium niobate [LiNbO3] [2013-01]
H01L 2924/10681
. . . . . .
Lanthanum copper oxide [La2CuO4] [2013-01]
H01L 2924/1072
. . . . .
Layered [2013-01]
H01L 2924/10721
. . . . . .
Lead(II)iodide [PbI2] [2013-01]
H01L 2924/10722
. . . . . .
Molybdenum disulfide [MoS2] [2013-01]
H01L 2924/10723
. . . . . .
Gallium selenide [GaSe] [2013-01]
H01L 2924/10724
. . . . . .
Tin sulfide [SnS] [2013-01]
H01L 2924/10725
. . . . . .
Bismuth sulfide [Bi2S3] [2013-01]
H01L 2924/1077
. . . . .
Magnetic diluted [DMS] [2013-01]
H01L 2924/10771
. . . . . .
Gallium manganese arsenide [GaMnAs] [2013-01]
H01L 2924/10772
. . . . . .
Indium manganese arsenide [InMnAs] [2013-01]
H01L 2924/10773
. . . . . .
Cadmium manganese telluride [CdMnTe] [2013-01]
H01L 2924/10774
. . . . . .
Lead manganese telluride [PbMnTe] [2013-01]
H01L 2924/10775
. . . . . .
Lanthanum calcium manganate [La0.7Ca0.3MnO3] [2013-01]
H01L 2924/10776
. . . . . .
Iron(II)oxide [FeO] [2013-01]
H01L 2924/10777
. . . . . .
Nickel(II)oxide [NiO] [2013-01]
H01L 2924/10778
. . . . . .
Europium(II)oxide [EuO] [2013-01]
H01L 2924/10779
. . . . . .
Europium(II)sulfide [EuS] [2013-01]
H01L 2924/1078
. . . . . .
Chromium(III)bromide [CrBr3] [2013-01]
H01L 2924/1082
. . . . .
Other [2013-01]
H01L 2924/10821
. . . . . .
Copper indium gallium selenide, CIGS [Cu[In,Ga]Se2] [2013-01]
H01L 2924/10822
. . . . . .
Copper zinc tin sulfide, CZTS [Cu2ZnSnS4] [2013-01]
H01L 2924/10823
. . . . . .
Copper indium selenide, CIS [CuInSe2] [2013-01]
H01L 2924/10824
. . . . . .
Silver gallium sulfide [AgGaS2] [2013-01]
H01L 2924/10825
. . . . . .
Zinc silicon phosphide [ZnSiP2] [2013-01]
H01L 2924/10826
. . . . . .
Arsenic selenide [As2S3] [2013-01]
H01L 2924/10827
. . . . . .
Platinum silicide [PtSi] [2013-01]
H01L 2924/10828
. . . . . .
Bismuth(III)iodide [BiI3] [2013-01]
H01L 2924/10829
. . . . . .
Mercury(II)iodide [HgI2] [2013-01]
H01L 2924/1083
. . . . . .
Thallium(I)bromide [TlBr] [2013-01]
H01L 2924/10831
. . . . . .
Selenium [Se] [2013-01]
H01L 2924/10832
. . . . . .
Silver sulfide [Ag2S] [2013-01]
H01L 2924/10833
. . . . . .
Iron disulfide [FeS2] [2013-01]
H01L 2924/11
. .
Device type [2013-01]
H01L 2924/12
. . .
Passive devices, e.g. 2 terminal devices [2013-01]
H01L 2924/1203
. . . .
Rectifying Diode [2013-01]
H01L 2924/12031
. . . . .
PIN diode [2013-01]
H01L 2924/12032
. . . . .
Schottky diode [2013-01]
H01L 2924/12033
. . . . .
Gunn diode [2013-01]
H01L 2924/12034
. . . . .
Varactor [2013-01]
H01L 2924/12035
. . . . .
Zener diode [2013-01]
H01L 2924/12036
. . . . .
PN diode [2013-01]
H01L 2924/12037
. . . . .
Cat's whisker diode [2013-01]
H01L 2924/12038
. . . . .
Point contact [2013-01]
H01L 2924/1204
. . . .
Optical Diode [2013-01]
H01L 2924/12041
. . . . .
LED [2013-01]
H01L 2924/12042
. . . . .
LASER [2013-01]
H01L 2924/12043
. . . . .
Photo diode [2013-01]
H01L 2924/12044
. . . . .
OLED [2013-01]
H01L 2924/1205
. . . .
Capacitor [2013-01]
H01L 2924/1206
. . . .
Inductor [2013-01]
H01L 2924/1207
. . . .
Resistor [2013-01]
H01L 2924/13
. . .
Discrete devices, e.g. 3 terminal devices [2013-01]
H01L 2924/1301
. . . .
Thyristor [2013-01]
H01L 2924/13011
. . . . .
Anode Gate Thyristor [AGT] [2013-01]
H01L 2924/13013
. . . . .
Bidirectional Control Thyristor [BCT] [2013-01]
H01L 2924/13014
. . . . .
Breakover Diode [BOD] [2013-01]
H01L 2924/13015
. . . . .
DIAC - Bidirectional trigger device [2013-01]
H01L 2924/13016
. . . . .
Dynistor - Unidirectional switching device [2013-01]
H01L 2924/13017
. . . . .
Shockley diode - Unidirectional trigger and switching device [2013-01]
H01L 2924/13018
. . . . .
SIDAC - Bidirectional switching device [2013-01]
H01L 2924/13019
. . . . .
Trisil, SIDACtor - Bidirectional protection devices [2013-01]
H01L 2924/1302
. . . . .
GTO - Gate Turn-Off thyristor [2013-01]
H01L 2924/13021
. . . . . .
DB-GTO - Distributed Buffer Gate Turn-Off thyristor [2013-01]
H01L 2924/13022
. . . . . .
MA-GTO - Modified Anode Gate Turn-Off thyristor [2013-01]
H01L 2924/13023
. . . . .
IGCT - Integrated Gate Commutated Thyristor [2013-01]
H01L 2924/13024
. . . . .
LASCR - Light Activated SCR, or LTT - Light triggered thyristor [2013-01]
H01L 2924/13025
. . . . .
Light Activated Semiconducting Switch [LASS] [2013-01]
H01L 2924/13026
. . . . .
MCT - MOSFET Controlled Thyristor - It contains two additional FET structures for on/off control [2016-05]
H01L 2924/13027
. . . . .
BRT - Base Resistance Controlled Thyristor [2013-01]
H01L 2924/13028
. . . . .
RCT - Reverse Conducting Thyristor [2013-01]
H01L 2924/13029
. . . . .
PUT or PUJT - Programmable Unijunction Transistor - A thyristor with gate on n-type layer near to the anode used as a functional replacement for unijunction transistor [2013-01]
H01L 2924/1303
. . . . .
SCS - Silicon Controlled Switch or Thyristor Tetrode - A thyristor with both cathode and anode gates [2013-01]
H01L 2924/13032
. . . . .
SITh - Static Induction Thyristor, or FCTh - Field Controlled Thyristor - containing a gate structure that can shut down anode current flow [2016-05]
H01L 2924/13033
. . . . .
TRIAC - Triode for Alternating Current - A bidirectional switching device containing two thyristor structures with common gate contact [2013-01]
H01L 2924/13034
. . . . .
Silicon Controlled Rectifier [SCR] [2013-01]
H01L 2924/13035
. . . . . .
Asymmetrical SCR [ASCR] [2013-01]
H01L 2924/1304
. . . .
Transistor [2013-01]
H01L 2924/1305
. . . . .
Bipolar Junction Transistor [BJT] [2013-01]
H01L 2924/13051
. . . . . .
Heterojunction bipolar transistor [HBT] [2013-01]
H01L 2924/13052
. . . . . .
Schottky transistor [2013-01]
H01L 2924/13053
. . . . . .
Avalanche transistor [2013-01]
H01L 2924/13054
. . . . . .
Darlington transistor [2013-01]
H01L 2924/13055
. . . . . .
Insulated gate bipolar transistor [IGBT] [2013-01]
H01L 2924/13056
. . . . . .
Photo transistor [2013-01]
H01L 2924/1306
. . . . .
Field-effect transistor [FET] [2013-01]
H01L 2924/13061
. . . . . .
Carbon nanotube field-effect transistor [CNFET] [2013-01]
H01L 2924/13062
. . . . . .
Junction field-effect transistor [JFET] [2013-01]
H01L 2924/13063
. . . . . .
Metal-Semiconductor Field-Effect Transistor [MESFET] [2013-01]
H01L 2924/13064
. . . . . .
High Electron Mobility Transistor [HEMT, HFET [heterostructure FET], MODFET] [2013-01]
H01L 2924/13066
. . . . . .
Inverted-T field effect transistor [ITFET] [2013-01]
H01L 2924/13067
. . . . . .
FinFET, source/drain region shapes fins on the silicon surface [2016-05]
H01L 2924/13068
. . . . . .
Fast-reverse epitaxial diode field-effect transistor [FREDFET] [2013-01]
H01L 2924/13069
. . . . . .
Thin film transistor [TFT] [2013-01]
H01L 2924/1307
. . . . . .
Organic Field-Effect Transistor [OFET] [2013-01]
H01L 2924/13071
. . . . . .
Ballistic transistor [2013-01]
H01L 2924/13072
. . . . . .
Sensor FET [2013-01]
H01L 2924/13073
. . . . . . .
ion-sensitive field-effect transistor [ISFET] [2013-01]
H01L 2924/13074
. . . . . . .
Electrolyte-oxide-semiconductor field effect transistor [EOSFET], e.g. Neurochip [2013-01]
H01L 2924/13075
. . . . . . .
Deoxyribonucleic acid field-effect transistor [DNAFET] [2013-01]
H01L 2924/13076
. . . . . . .
DEPFET [2013-01]
H01L 2924/13078
. . . . . .
Unijunction transistors [2013-01]
H01L 2924/13079
. . . . . .
Single-electron transistors [SET] [2013-01]
H01L 2924/1308
. . . . . .
Nanofluidic transistor [2013-01]
H01L 2924/13081
. . . . . .
Multigate devices [2013-01]
H01L 2924/13082
. . . . . . .
Tetrode transistor [2013-01]
H01L 2924/13083
. . . . . . .
Pentode transistor [2013-01]
H01L 2924/13084
. . . . . . .
Trigate transistor [2013-01]
H01L 2924/13085
. . . . . . .
Dual gate FETs [2013-01]
H01L 2924/13086
. . . . . .
Junctionless Nanowire Transistor [JNT] [2013-01]
H01L 2924/13087
. . . . . .
Vertical-Slit Field-Effect Transistor [VeSFET] [2013-01]
H01L 2924/13088
. . . . . .
Graphene Nanoribbon Field-Effect Transistor [GNRFET] [2013-01]
H01L 2924/13089
. . . . . .
Nanoparticle Organic Memory Field-Effect Transistor [NOMFET] [2013-01]
H01L 2924/1309
. . . . . .
Modulation-Doped Field Effect Transistor [MODFET] [2013-01]
H01L 2924/13091
. . . . . .
Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET] [2013-01]
H01L 2924/13092
. . . . . . .
Dual Gate Metal-Oxide-Semiconductor Field-Effect Transistor [DGMOSFET] [2013-01]
H01L 2924/14
. . .
Integrated circuits [2013-01]
H01L 2924/141
. . . .
Analog devices [2013-01]
H01L 2924/142
. . . . .
HF devices [2013-01]
H01L 2924/1421
. . . . . .
RF devices [2013-01]
H01L 2924/14211
. . . . . . .
Voltage-controlled oscillator [VCO] [2013-01]
H01L 2924/14215
. . . . . . .
Low-noise amplifier [LNA] [2013-01]
H01L 2924/1422
. . . . . . .
Mixer [2013-01]
H01L 2924/14221
. . . . . . . .
Electronic mixer [2013-01]
H01L 2924/14222
. . . . . . . .
Frequency mixer [2013-01]
H01L 2924/1423
. . . . .
Monolithic Microwave Integrated Circuit [MMIC] [2013-01]
H01L 2924/1424
. . . . .
Operational amplifier [2013-01]
H01L 2924/1425
. . . . .
Converter [2013-01]
H01L 2924/14251
. . . . . .
Frequency converter [2013-01]
H01L 2924/14252
. . . . . .
Voltage converter [2013-01]
H01L 2924/14253
. . . . . .
Digital-to-analog converter [DAC] [2013-01]
H01L 2924/1426
. . . . .
Driver [2013-01]
H01L 2924/1427
. . . . .
Voltage regulator [VR] [2013-01]
H01L 2924/143
. . . .
Digital devices [2013-01]
H01L 2924/1431
. . . . .
Logic devices [2013-01]
H01L 2924/1432
. . . . .
Central processing unit [CPU] [2013-01]
H01L 2924/1433
. . . . .
Application-specific integrated circuit [ASIC] [2013-01]
H01L 2924/14335
. . . . .
Digital signal processor [DSP] [2013-01]
H01L 2924/1434
. . . . .
Memory [2013-01]
H01L 2924/1435
. . . . . .
Random access memory [RAM] [2013-01]
H01L 2924/1436
. . . . . . .
Dynamic random-access memory [DRAM] [2013-01]
H01L 2924/14361
. . . . . . . .
Synchronous dynamic random access memory [SDRAM] [2013-01]
H01L 2924/14362
. . . . . . . . .
RAS Only Refresh [ROR] [2013-01]
H01L 2924/14363
. . . . . . . . .
CAS before RAS refresh [CBR] [2013-01]
H01L 2924/14364
. . . . . . . .
Multibank DRAM [MDRAM] [2013-01]
H01L 2924/14365
. . . . . . . .
Video DRAM [VRAM] [2013-01]
H01L 2924/14366
. . . . . . . .
Window DRAM [WRAM] [2013-01]
H01L 2924/14367
. . . . . . . .
Fast page mode DRAM [FPM DRAM] [2013-01]
H01L 2924/14368
. . . . . . . .
Extended data out DRAM [EDO DRAM] [2013-01]
H01L 2924/14369
. . . . . . . .
Burst EDO DRAM [BEDO DRAM] [2013-01]
H01L 2924/1437
. . . . . . .
Static random-access memory [SRAM] [2013-01]
H01L 2924/1438
. . . . . . .
Flash memory [2013-01]
H01L 2924/1441
. . . . . . .
Ferroelectric RAM [FeRAM or FRAM] [2013-01]
H01L 2924/1442
. . . . . . .
Synchronous graphics RAM [SGRAM] [2013-01]
H01L 2924/1443
. . . . . . .
Non-volatile random-access memory [NVRAM] [2013-01]
H01L 2924/1444
. . . . . . .
PBRAM [2013-01]
H01L 2924/145
. . . . . .
Read-only memory [ROM] [2013-01]
H01L 2924/1451
. . . . . . .
EPROM [2013-01]
H01L 2924/14511
. . . . . . . .
EEPROM [2013-01]
H01L 2924/1453
. . . . . . .
PROM [2013-01]
H01L 2924/146
. .
Mixed devices [2013-01]
H01L 2924/1461
. . .
MEMS [2013-01]
H01L 2924/15
.
Details of package parts other than the semiconductor or other solid state devices to be connected [2013-01]
H01L 2924/151
. .
Die mounting substrate [2013-01]
H01L 2924/1511
. . .
Structure [2013-01]
H01L 2924/1515
. . .
Shape [2013-01]
H01L 2924/15151
. . . .
the die mounting substrate comprising an aperture, e.g. for underfilling, outgassing, window type wire connections [2016-08]
H01L 2924/15153
. . . .
the die mounting substrate comprising a recess for hosting the device [2013-01]
H01L 2924/15155
. . . . .
the shape of the recess being other than a cuboid [2013-01]
H01L 2924/15156
. . . . . .
Side view [2013-01]
H01L 2924/15157
. . . . . .
Top view [2013-01]
H01L 2924/15158
. . . .
the die mounting substrate being other than a cuboid [2013-01]
H01L 2924/15159
. . . . .
Side view [2013-01]
H01L 2924/15162
. . . . .
Top view [2013-01]
H01L 2924/15165
. . .
Monolayer substrate [2013-01]
H01L 2924/1517
. . .
Multilayer substrate [2013-01]
H01L 2924/15172
. . . .
Fan-out arrangement of the internal vias [2013-01]
H01L 2924/15173
. . . . .
in a single layer of the multilayer substrate [2013-01]
H01L 2924/15174
. . . . .
in different layers of the multilayer substrate [2013-01]
H01L 2924/15182
. . . .
Fan-in arrangement of the internal vias [2013-01]
H01L 2924/15183
. . . . .
in a single layer of the multilayer substrate [2013-01]
H01L 2924/15184
. . . . .
in different layers of the multilayer substrate [2013-01]
H01L 2924/15192
. . . .
Resurf arrangement of the internal vias [2013-01]
H01L 2924/152
. . .
Disposition [2013-01]
H01L 2924/153
. . .
Connection portion [2013-01]
H01L 2924/1531
. . . .
the connection portion being formed only on the surface of the substrate opposite to the die mounting surface [2013-01]
H01L 2924/15311
. . . . .
being a ball array, e.g. BGA [2016-08]
H01L 2924/15312
. . . . .
being a pin array, e.g. PGA [2016-08]
H01L 2924/15313
. . . . .
being a land array, e.g. LGA [2016-08]
H01L 2924/1532
. . . .
the connection portion being formed on the die mounting surface of the substrate [2013-01]
H01L 2924/15321
. . . . .
being a ball array, e.g. BGA [2016-08]
H01L 2924/15322
. . . . .
being a pin array, e.g. PGA [2016-08]
H01L 2924/15323
. . . . .
being a land array, e.g. LGA [2016-08]
H01L 2924/1533
. . . . .
the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate [2013-01]
H01L 2924/15331
. . . . . .
being a ball array, e.g. BGA [2016-08]
H01L 2924/15332
. . . . . .
being a pin array, e.g. PGA [2016-08]
H01L 2924/15333
. . . . . .
being a land array, e.g. LGA [2016-08]
H01L 2924/156
. . .
Material [2013-01]
H01L 2924/157
. . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2924/15701
. . . . .
the principal constituent melting at a temperature of less than 400 C [2013-01]
H01L 2924/15717
. . . . .
the principal constituent melting at a temperature of greater than or equal to 400 C and less than 950 C [2013-01]
H01L 2924/15724
. . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2924/15738
. . . . .
the principal constituent melting at a temperature of greater than or equal to 950 C and less than 1550 C [2013-01]
H01L 2924/15747
. . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2924/1576
. . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2924/15763
. . . . .
the principal constituent melting at a temperature of greater than 1550 C [2013-01]
H01L 2924/15786
. . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2924/15787
. . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides [2013-01]
H01L 2924/15788
. . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2924/1579
. . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2924/15791
. . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2924/15793
. . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2924/157 - H01L 2924/15791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2924/15798
. . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2924/161
. .
Cap [2013-01]
H01L 2924/1611
. . .
Structure [2013-01]
H01L 2924/1615
. . .
Shape [2013-01]
H01L 2924/16151
. . . .
Cap comprising an aperture, e.g. for pressure control, encapsulation [2016-08]
H01L 2924/16152
. . . .
Cap comprising a cavity for hosting the device, e.g. U-shaped cap [2013-01]
H01L 2924/16153
. . . . .
Cap enclosing a plurality of side-by-side cavities [e.g. E-shaped cap] [2013-01]
H01L 2924/1616
. . . . .
Cavity shape [2013-01]
H01L 2924/1617
. . . . .
Cavity coating [2013-01]
H01L 2924/16171
. . . . . .
Material [2013-01]
H01L 2924/16172
. . . . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2924/16173
. . . . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2924/16174
. . . . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/16175) [2015-11]
H01L 2924/16175
. . . . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2924/16176
. . . . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2924/16177
. . . . . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2924/16178
. . . . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2924/157 - H01L 2924/15791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2924/16179
. . . . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2924/1619
. . . . .
Cavity coating shape [2013-01]
H01L 2924/16195
. . . .
Flat cap [not enclosing an internal cavity] [2013-01]
H01L 2924/16196
. . . .
Cap forming a cavity, e.g. being a curved metal foil [2016-08]
H01L 2924/162
. . .
Disposition [2013-01]
H01L 2924/16235
. . . .
Connecting to a semiconductor or solid-state bodies, i.e. cap-to-chip [2016-08]
H01L 2924/16251
. . . .
Connecting to an item not being a semiconductor or solid-state body, e.g. cap-to-substrate [2013-01]
H01L 2924/1626
. . . .
Cap-in-cap assemblies [2013-01]
H01L 2924/1627
. . . .
stacked type assemblies, e.g. stacked multi-cavities [2016-08]
H01L 2924/163
. . .
Connection portion, e.g. seal [2016-08]
H01L 2924/1631
. . . .
Structure [2013-01]
H01L 2924/16315
. . . .
Shape [2013-01]
H01L 2924/1632
. . . .
Disposition [2013-01]
H01L 2924/164
. . . .
Material [2013-01]
H01L 2924/165
. . . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2924/16586
. . . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2924/16587
. . . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides [2013-01]
H01L 2924/16588
. . . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2924/1659
. . . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2924/16593
. . . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2924/157 - H01L 2924/15791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2924/16598
. . . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2924/166
. . .
Material [2013-01]
H01L 2924/167
. . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2924/16701
. . . . .
the principal constituent melting at a temperature of less than 400 C [2013-01]
H01L 2924/16717
. . . . .
the principal constituent melting at a temperature of greater than or equal to 400 C and less than 950 C [2013-01]
H01L 2924/16724
. . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2924/16738
. . . . .
the principal constituent melting at a temperature of greater than or equal to 950 C and less than 1550 C [2013-01]
H01L 2924/16747
. . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2924/1676
. . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2924/16763
. . . . .
the principal constituent melting at a temperature of greater than 1550 C [2013-01]
H01L 2924/16786
. . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2924/16787
. . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides [2013-01]
H01L 2924/16788
. . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2924/1679
. . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2924/16791
. . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2924/16793
. . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2924/167 - H01L 2924/16791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2924/16798
. . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2924/171
. .
Frame [2013-01]
H01L 2924/1711
. . .
Structure [2013-01]
H01L 2924/1715
. . .
Shape [2013-01]
H01L 2924/17151
. . . .
Frame comprising an aperture, e.g. for pressure control, encapsulation [2016-08]
H01L 2924/172
. . .
Disposition [2013-01]
H01L 2924/173
. . .
Connection portion, e.g. seal [2016-08]
H01L 2924/176
. . .
Material [2013-01]
H01L 2924/177
. . . .
with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof [2013-01]
H01L 2924/17701
. . . . .
the principal constituent melting at a temperature of less than 400 C [2013-01]
H01L 2924/17717
. . . . .
the principal constituent melting at a temperature of greater than or equal to 400 C and less than 950 C [2013-01]
H01L 2924/17724
. . . . . .
Aluminium [Al] as principal constituent [2013-01]
H01L 2924/17738
. . . . .
the principal constituent melting at a temperature of greater than or equal to 950 C and less than 1550 C [2013-01]
H01L 2924/17747
. . . . . .
Copper [Cu] as principal constituent [2013-01]
H01L 2924/1776
. . . . . .
Iron [Fe] as principal constituent [2013-01]
H01L 2924/17763
. . . . .
the principal constituent melting at a temperature of greater than 1550 C [2013-01]
H01L 2924/17786
. . . .
with a principal constituent of the material being a non metallic, non metalloid inorganic material [2013-01]
H01L 2924/17787
. . . . .
Ceramics, e.g. crystalline carbides, nitrides or oxides [2013-01]
H01L 2924/17788
. . . . .
Glasses, e.g. amorphous oxides, nitrides or fluorides [2013-01]
H01L 2924/1779
. . . .
with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy [2013-01]
H01L 2924/17791
. . . . .
The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene [2013-01]
H01L 2924/17793
. . . .
with a principal constituent of the material being a solid not provided for in groups H01L 2924/177 - H01L 2924/17791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond [2016-05]
H01L 2924/17798
. . . .
with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams [2013-01]
H01L 2924/181
. .
Encapsulation [2013-01]
H01L 2924/1811
. . .
Structure [2013-01]
H01L 2924/1815
. . .
Shape [2013-01]
H01L 2924/1816
. . . .
Exposing the passive side of the semiconductor or solid-state body [2013-01]
H01L 2924/18161
. . . . .
of a flip chip [2013-01]
H01L 2924/18162
. . . . .
of a chip with build-up interconnect [2013-01]
H01L 2924/18165
. . . . .
of a wire bonded chip [2013-01]
H01L 2924/182
. . .
Disposition [2013-01]
H01L 2924/183
. . .
Connection portion, e.g. seal [2016-08]
H01L 2924/18301
. . . .
being an anchoring portion, i.e. mechanical interlocking between the encapsulation resin and another package part [2016-08]
H01L 2924/186
. . .
Material [2013-01]
H01L 2924/19
.
Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected [2013-01]
H01L 2924/1901
. .
Structure [2013-01]
H01L 2924/19011
. . .
including integrated passive components [2013-01]
H01L 2924/19015
. . .
including thin film passive components [2013-01]
H01L 2924/1902
. . .
including thick film passive components [2013-01]
H01L 2924/1903
. . .
including wave guides [2013-01]
H01L 2924/19031
. . . .
being a strip line type [2013-01]
H01L 2924/19032
. . . .
being a microstrip line type [2013-01]
H01L 2924/19033
. . . .
being a coplanar line type [2013-01]
H01L 2924/19038
. . . .
being a hybrid line type [2013-01]
H01L 2924/19039
. . . . .
impedance transition between different types of wave guides [2013-01]
H01L 2924/1904
. . .
Component type [2013-01]
H01L 2924/19041
. . . .
being a capacitor [2013-01]
H01L 2924/19042
. . . .
being an inductor [2013-01]
H01L 2924/19043
. . . .
being a resistor [2013-01]
H01L 2924/1905
. .
Shape [2013-01]
H01L 2924/19051
. . .
Impedance matching structure [e.g. balun] [2013-01]
H01L 2924/191
. .
Disposition [2013-01]
H01L 2924/19101
. . .
of discrete passive components [2013-01]
H01L 2924/19102
. . . .
in a stacked assembly with the semiconductor or solid state device [2013-01]
H01L 2924/19103
. . . . .
interposed between the semiconductor or solid-state device and the die mounting substrate, i.e. chip-on-passive [2019-05]
H01L 2924/19104
. . . . .
on the semiconductor or solid-state device, i.e. passive-on-chip [2019-05]
H01L 2924/19105
. . . .
in a side-by-side arrangement on a common die mounting substrate [2013-01]
H01L 2924/19106
. . . .
in a mirrored arrangement on two different side of a common die mounting substrate [2013-01]
H01L 2924/19107
. . . .
off-chip wires [2013-01]
H01L 2924/20
.
Parameters [2013-01]
H01L 2924/201
. .
Temperature ranges [2013-01]
H01L 2924/20101
. . .
Temperature range T<0 C, T<273.15 K [2013-01]
H01L 2924/20102
. . .
Temperature range 0 C=<T<60 C, 273.15 K =<T< 333.15K [2013-01]
H01L 2924/20103
. . .
Temperature range 60 C=<T<100 C, 333.15 K =< T< 373.15K [2013-01]
H01L 2924/20104
. . .
Temperature range 100 C=<T<150 C, 373.15 K =< T < 423.15K [2013-01]
H01L 2924/20105
. . .
Temperature range 150 C=<T<200 C, 423.15 K =< T < 473.15K [2013-01]
H01L 2924/20106
. . .
Temperature range 200 C=<T<250 C, 473.15 K =<T < 523.15K [2013-01]
H01L 2924/20107
. . .
Temperature range 250 C=<T<300 C, 523.15K =<T< 573.15K [2013-01]
H01L 2924/20108
. . .
Temperature range 300 C=<T<350 C, 573.15K =<T< 623.15K [2013-01]
H01L 2924/20109
. . .
Temperature range 350 C=<T<400 C, 623.15K =<T< 673.15K [2013-01]
H01L 2924/2011
. . .
Temperature range 400 C=<T<450 C, 673.15K =<T< 723.15K [2013-01]
H01L 2924/20111
. . .
Temperature range 450 C=<T<500 C, 723.15K =<T< 773.15K [2013-01]
H01L 2924/202
. .
Electromagnetic wavelength ranges [W] [2013-01]
H01L 2924/20201
. . .
Gamma radiation, i.e. wavelength less than 0.01 nm [2013-01]
H01L 2924/20202
. . .
X-ray radiation, i.e. wavelength 0.01 to 10 nm [2013-01]
H01L 2924/2021
. . .
Ultraviolet radiation [2013-01]
H01L 2924/20211
. . . .
UV-C 100=<W<280 nm [2013-01]
H01L 2924/20212
. . . .
UV-B 280=<W<315 nm [2013-01]
H01L 2924/20213
. . . .
UV-A 315=<W<400 nm [2013-01]
H01L 2924/2024
. . .
Visible spectrum wavelength 390=<W<700 nm, i.e. 400-790 THz [2013-01]
H01L 2924/2026
. . .
Infrared radiation 700=<W<3000 nm [2013-01]
H01L 2924/20261
. . . .
IR-A 700=<W<1400 nm, i.e. 215 THz-430 THz [2013-01]
H01L 2924/20262
. . . .
IR-B 1400=<W<3000 nm, i.e. 100THz-215 THz [2013-01]
H01L 2924/20263
. . . .
IR-C 3000 nm =<W<1 mm, i.e. 300 GHz-100THz [2013-01]
H01L 2924/2027
. . .
Radio 1 mm - km 300 GHz - 3 Hz [2013-01]
H01L 2924/20271
. . . .
Microwave radiation 1 mm - 1 meter, i..e 300 GHz - 300 MHz [2013-01]
H01L 2924/203
. .
Ultrasonic frequency ranges, i.e. KHz [2013-01]
H01L 2924/20301
. . .
Ultrasonic frequency [f] f<25 kHz [2013-01]
H01L 2924/20302
. . .
Ultrasonic frequency [f] 25 Khz=<f< 50 KHz [2013-01]
H01L 2924/20303
. . .
Ultrasonic frequency [f] 50 Khz=<f< 75 KHz [2013-01]
H01L 2924/20304
. . .
Ultrasonic frequency [f] 75 Khz=<f< 100 KHz [2013-01]
H01L 2924/20305
. . .
Ultrasonic frequency [f] 100 Khz=<f< 125 KHz [2013-01]
H01L 2924/20306
. . .
Ultrasonic frequency [f] 125 Khz=<f< 150 KHz [2013-01]
H01L 2924/20307
. . .
Ultrasonic frequency [f] 150 Khz=<f< 175 KHz [2013-01]
H01L 2924/20308
. . .
Ultrasonic frequency [f] 175 Khz=<f< 200 KHz [2013-01]
H01L 2924/20309
. . .
Ultrasonic frequency [f] f>=200 KHz [2013-01]
H01L 2924/206
. .
Length ranges [2013-01]
H01L 2924/2064
. . .
larger or equal to 1 micron less than 100 microns [2013-01]
H01L 2924/20641
. . .
larger or equal to 100 microns less than 200 microns [2013-01]
H01L 2924/20642
. . .
larger or equal to 200 microns less than 300 microns [2013-01]
H01L 2924/20643
. . .
larger or equal to 300 microns less than 400 microns [2013-01]
H01L 2924/20644
. . .
larger or equal to 400 microns less than 500 microns [2013-01]
H01L 2924/20645
. . .
larger or equal to 500 microns less than 600 microns [2013-01]
H01L 2924/20646
. . .
larger or equal to 600 microns less than 700 microns [2013-01]
H01L 2924/20647
. . .
larger or equal to 700 microns less than 800 microns [2013-01]
H01L 2924/20648
. . .
larger or equal to 800 microns less than 900 microns [2013-01]
H01L 2924/20649
. . .
larger or equal to 900 microns less than 1000 microns [2013-01]
H01L 2924/2065
. . .
larger or equal to 1000 microns less than 1500 microns [2013-01]
H01L 2924/20651
. . .
larger or equal to 1500 microns less than 2000 microns [2013-01]
H01L 2924/20652
. . .
larger or equal to 2000 microns less than 2500 microns [2013-01]
H01L 2924/20653
. . .
larger or equal to 2500 microns less than 3000 microns [2013-01]
H01L 2924/20654
. . .
larger or equal to 3000 microns less than 4000 microns [2013-01]
H01L 2924/20655
. . .
larger or equal to 4000 microns less than 5000 microns [2013-01]
H01L 2924/20656
. . .
larger or equal to 5000 microns less than 6000 microns [2013-01]
H01L 2924/20657
. . .
larger or equal to 6000 microns less than 7000 microns [2013-01]
H01L 2924/20658
. . .
larger or equal to 7000 microns less than 8000 microns [2013-01]
H01L 2924/207
. .
Diameter ranges [2013-01]
H01L 2924/2075
. . .
larger or equal to 1 micron less than 10 microns [2013-01]
H01L 2924/20751
. . .
larger or equal to 10 microns less than 20 microns [2013-01]
H01L 2924/20752
. . .
larger or equal to 20 microns less than 30 microns [2013-01]
H01L 2924/20753
. . .
larger or equal to 30 microns less than 40 microns [2013-01]
H01L 2924/20754
. . .
larger or equal to 40 microns less than 50 microns [2013-01]
H01L 2924/20755
. . .
larger or equal to 50 microns less than 60 microns [2013-01]
H01L 2924/20756
. . .
larger or equal to 60 microns less than 70 microns [2013-01]
H01L 2924/20757
. . .
larger or equal to 70 microns less than 80 microns [2013-01]
H01L 2924/20758
. . .
larger or equal to 80 microns less than 90 microns [2013-01]
H01L 2924/20759
. . .
larger or equal to 90 microns less than 100 microns [2013-01]
H01L 2924/2076
. . .
equal to or larger than 100 microns [2013-01]
H01L 2924/30
.
Technical effects [2013-01]
H01L 2924/301
. .
Electrical effects [2013-01]
H01L 2924/30101
. . .
Resistance [2013-01]
H01L 2924/30105
. . .
Capacitance [2013-01]
H01L 2924/30107
. . .
Inductance [2013-01]
H01L 2924/3011
. . .
Impedance [2013-01]
H01L 2924/30111
. . . .
matching [2013-01]
H01L 2924/302
. . .
Electrostatic [2013-01]
H01L 2924/30201
. . . .
Charge [2013-01]
H01L 2924/30205
. . . .
Discharge [2013-01]
H01L 2924/3025
. . .
Electromagnetic shielding [2013-01]
H01L 2924/35
. .
Mechanical effects [2013-01]
H01L 2924/351
. . .
Thermal stress [2013-01]
H01L 2924/3511
. . . .
Warping [2013-01]
H01L 2924/3512
. . . .
Cracking [2013-01]
H01L 2924/35121
. . . . .
Peeling or delaminating [2013-01]
H01L 2924/36
. .
Material effects [2013-01]
H01L 2924/364
. . .
Polymers [2013-01]
H01L 2924/3641
. . . .
Outgassing [2013-01]
H01L 2924/365
. . .
Metallurgical effects [2013-01]
H01L 2924/3651
. . . .
Formation of intermetallics [2013-01]
H01L 2924/36511
. . . . .
Purple plague [2013-01]
H01L 2924/3656
. . . .
Formation of Kirkendall voids [2013-01]
H01L 2924/37
. .
Effects of the manufacturing process [2013-01]
H01L 2924/37001
. . .
Yield [2013-01]
H01L 2924/37002
. . .
Shelf life [2013-01]
H01L 2924/3701
. . .
increased through put [2013-01]
H01L 2924/38
. .
Effects and problems related to the device integration [2013-01]
H01L 2924/381
. . .
Pitch distance [2013-01]
H01L 2924/384
. . .
Bump effects [2013-01]
H01L 2924/3841
. . . .
Solder bridging [2013-01]
H01L 2924/386
. . .
Wire effects [2013-01]
H01L 2924/3861
. . . .
Sag [2013-01]
H01L 2924/3862
. . . .
Sweep [2013-01]
H01L 2924/40
.
Details of apparatuses used for either manufacturing connectors or connecting the semiconductor or solid-state body [2013-01]
H01L 2924/401
. .
LASER [2013-01]
H01L 2924/40101
. . .
Mode [2013-01]
H01L 2924/40102
. . . .
being pulsed [2013-01]
H01L 2924/40103
. . . .
being continous [2013-01]
H01L 2924/40105
. . .
Beam details [2013-01]
H01L 2924/4015
. . . .
Shape [2013-01]
H01L 2924/402
. . .
Type [2013-01]
H01L 2924/40201
. . . .
being a chemical [2013-01]
H01L 2924/40202
. . . . .
Deuterium Flouride [DF] LASER [2013-01]
H01L 2924/40203
. . . . .
Hydrogen Flouride [HF] LASER [2013-01]
H01L 2924/40207
. . . . .
Dye laser [2013-01]
H01L 2924/4025
. . . .
being a gas [2013-01]
H01L 2924/40251
. . . . .
argon-ion LASER [2013-01]
H01L 2924/40252
. . . . .
CO2 LASER [2013-01]
H01L 2924/40253
. . . . .
HeAg LASER [2013-01]
H01L 2924/40254
. . . . .
HeNe LASER [2013-01]
H01L 2924/40255
. . . . .
NeCu LASER [2013-01]
H01L 2924/403
. . . .
being an Excimer [2013-01]
H01L 2924/40301
. . . . .
ArF LASER [2013-01]
H01L 2924/40302
. . . . .
F2 LASER [2013-01]
H01L 2924/40303
. . . . .
KrCl LASER [2013-01]
H01L 2924/40304
. . . . .
KrF LASER [2013-01]
H01L 2924/40305
. . . . .
XeCl LASER [2013-01]
H01L 2924/40306
. . . . .
XeF LASER [2013-01]
H01L 2924/4035
. . . .
being a fiber hosted LASER [2013-01]
H01L 2924/404
. . . .
being a solid state [2013-01]
H01L 2924/40401
. . . . .
Free electron LASER [2013-01]
H01L 2924/40402
. . . . .
Photonic crystal LASER [2013-01]
H01L 2924/40403
. . . . .
Fiber solid state LASER [2013-01]
H01L 2924/40404
. . . . .
Yttrium Aluminium Garnet Nd:YAG LASER [2013-01]
H01L 2924/40405
. . . . .
Yttrium Lithium Flouride Nd:YLF LASER [2013-01]
H01L 2924/40406
. . . . .
Ruby LASER [2013-01]
H01L 2924/40407
. . . . .
Yb:YAG LASER [2013-01]
H01L 2924/405
. . .
Wavelength [2013-01]
H01L 2924/40501
. . . .
UV spectrum [2013-01]
H01L 2924/40502
. . . .
Visible spectrum [2013-01]
H01L 2924/40503
. . . .
IR spectrum [2013-01]
H01L 2933/00
Details relating to devices covered by the group H01L 33/00 but not provided for in its subgroups [2013-01]
H01L 2933/0008
.
Processes [2013-01]
H01L 2933/0016
. .
relating to electrodes [2013-01]
H01L 2933/0025
. .
relating to coatings [2013-01]
H01L 2933/0033
. .
relating to semiconductor body packages [2013-01]
H01L 2933/0041
. . .
relating to wavelength conversion elements [2020-05]
H01L 2933/005
. . .
relating to encapsulations [2020-05]
H01L 2933/0058
. . .
relating to optical field-shaping elements [2020-05]
H01L 2933/0066
. . .
relating to arrangements for conducting electric current to or from the semiconductor body [2020-05]
H01L 2933/0075
. . .
relating to heat extraction or cooling elements [2020-05]
H01L 2933/0083
.
Periodic patterns for optical field-shaping in or on the semiconductor body or semiconductor body package, e.g. photonic bandgap structures [2013-01]
H01L 2933/0091
.
Scattering means in or on the semiconductor body or semiconductor body package (H01L 33/22 takes precedence) [2013-01]