CPC Definition - Subclass G03F

Last Updated Version: 2023.08
PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR; (phototypographic composing devices B41B; photosensitive materials or processes for photographic purposes G03C; electrophotography, sensitive layers or processes therefor G03G)
Definition statement

This place covers:

Photolithographic materials, processing these materials for producing textured or patterned surfaces, and apparatus for processing these materials.

References
Limiting references

This place does not cover:

Phototypographic composing devices

B41B

Apparatus or arrangements for taking, projecting or viewing photographs

G03B

Photosensitive materials or processes for photographic purposes

G03C

Electrophotography, sensitive layers or processes thereof

G03G

Holographic processes and apparatus

G03H

Reproduction of pictures or patterns by scanning and converting into electrical signals

H04N

Special rules of classification

The following IPC groups are not used in the internal ECLA classification system. Subject-matter covered by these groups is classified in the following ECLA groups:

G03F3/08 covered by H04N 1/46

G03F7/207 " G03F 7/20

G03F7/23 " G03F 7/22

G03F9/02 " G03F 9/00

Glossary of terms

In this place, the following terms or expressions are used with the meaning indicated:

Photosensitive

Sensitive to electromagnetic radiation but also to corpuscular radiation

Photosensitive compositions

Photosensitive substances, e.g. quinonediazides, and, if applicable, binders or additives

Photosensitive materials

Photosensitive compositions, e.g. photoresists, the bases carrying them and, if applicable, auxiliary layers

Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
Definition statement

This place covers:

Products modifying the pattern of radiation being transmitted through or reflected by said products (e.g. masks, photomasks, reticles), substrates therefor, auxiliary features (e.g. pellicles or auxiliary structures), process for their preparation, control and repair, containers for storage and/or transport of said products.

References
Limiting references

This place does not cover:

Masking layers which are integral part of a photosensitive element and photosensitive as such

G03F 7/095

Masking layers which are integral part of a photosensitive element provided they are not photosensitive as such

G03F 7/20

Addressable masks, e.g. transmissive liquid crystal arrays or micromirror devices

G03F 7/20, G02F 1/1335, G02B 26/08

Masks for photoablative processes

B23K 26/00

Deposition masks

C23C 14/00

Aperture plates for light beam shaping, e.g. diaphragms

G03B, G02B

Holographic devices to modify light pattern

G03H 1/00

Shadow mask for CRT

H01J 29/00

Shaping beams in charged particle lithography

H01J 37/317

Etch masks in semiconductor manufacturing

H01L 21/027

Informative references

Attention is drawn to the following places, which may be of interest for search:

Stencil masks

B41N 1/00, B24C 1/00, H01J 37/00

Transparent substrates

C03B, C03C

Phase plates (phase retarding optical elements)

G02B 5/00

Mirrors, particularly UV and EUV

G02B 5/00, G21K 1/00

Phase masks for gratings

G02B 6/02

Photographic masks, negatives

G03C

Mask or circuit layout

G06F 30/00

Inspection of patterns and materials

G06T, G02N

Membranes for X-Ray windows

H01J 33/00

Glossary of terms

In this place, the following terms or expressions are used with the meaning indicated:

Mask or photomask

Normally refers to 1:1 reproduction

Reticle

Normally involves (de)magnification - the terms mask and reticle are however often considered synonyms.

Pellicle

Protective cover placed at a distance from the surface of a reticle.

EUV (extreme ultraviolet)

Electromagnetic radiation in the 5-15 nm range (typically 13.4 nm)

SCALPEL

Scattering with angular limitation in projection electron beam lithography (characterized by the use of a membrane mask rather than a stencil mask)

PSM

Phase-shifting mask

AttPSM

Attenuated phase-shifting mask, aka half-tone PSM

AltPSM

Alternating phase-shifting mask, aka Levenson-Shibuya mask

Phase edge PSM

No absorber between phase shifting areas

OPC

Optical proximity correction

PPC

Process proximity correction

Synonyms and Keywords

In patent documents, the following words/expressions are often used as synonyms:

  • "mask", "photomask", "reticle" and "reticule"
  • "AttPSM", "Half-tone PSM" and "Leaky chrome PSM"
  • "Grey level" and "Half-tone"
Colour separation; Correction of tonal value (photographic copying apparatus in general G03B)
Definition statement

This place covers:

Non numerical rendition of colours; non numerical colour proofing.

References
Limiting references

This place does not cover:

Producing decorative effects (e.g. by transfer pictures, decalcomanias, by pressing or stamping ornemental designs on surfaces)

B44C

General purpose image data processing (e.g. numerical colour conversion)

G06T 1/00

Numerical treatment of images

H04N 1/00

Informative references

Attention is drawn to the following places, which may be of interest for search:

Duplicating or marking methods; sheet materials for use therein

B41M 5/00

Photographic copying apparatus in general

G03B

Screening processes; Screens therefor {(plates or light sensitive layers with incorporated screen G03F 7/004)}
Definition statement

This place covers:

Creation of half-tone patterns from continuous tone images using photolithographic / photomechanical processes.

References
Limiting references

This place does not cover:

Plates or light sensitive layers with incorporated screen

G03F 7/004

Screen printers, printing screens and processes in general

B41F 15/00, G03F 7/12

Photolith films, i.e. high contrast AgX photographic materials

G03C

Digital treatment of continuous tone images in order to transform them into half-tone patterns

H04N 1/00

Informative references

Attention is drawn to the following places, which may be of interest for search:

Cameras

G03B

Image treatment

G06T

Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor (using photoresist structures for special production processes, see the relevant places, e.g. B44C, H01L, e.g. H01L 21/00, H05K)
Definition statement

This place covers:

Photolithographic production of textured or patterned surfaces, e.g. printing surfaces; materials therefor, e.g. comprising photoresists; apparatus specially adapted therefor (using photoresist structures for special production processes, see the relevant places, e.g. B44C, H01L, e.g. H01L 21/00, H05K); imprint lithography.

References
Limiting references

This place does not cover:

Structuring a surface by laser beam

B23K 26/00

Stereolithographic processes and apparatus

B29C

Printing plate preparation (e.g. by laser ingraving)

B41C 1/00

Duplicating or marking methods; Sheet materials for use therein (e.g. inkjet printing, ablative recording, thermography)

B41M 5/00

Preparing for use and conserving printing surfaces (e.g. chemical or electrical treatment of aluminum support)

B41N 3/00

Producing decorative effects (e.g. by transfer pictures, decalcomanias, by pressing or stamping ornemental designs on surfaces)

B44C

Investigating or analyzing materials by use of optical means (e.g. pattern inspection)

G01N 21/00

Apparatus for photographic purposes

G03B

Photosensitive materials for photographic purposes (mainly silver halide containing system)

G03C

Electrography, electrophotography, magnetography

G03G

Holographic processes and apparatus using light

G03H 1/00

General purpose image data processing (e.g. numerical colour conversion)

G06T 1/00

Lithographic apparatus using electron beam

H01J 37/00

Process or apparatus adapted for the manufacture or treatment of semiconductors (except for coating, exposure and development of photoresist)

H01L 21/00

Numerical treatment of images

H04N 1/00

Printed circuit boards

H05K

Informative references

Attention is drawn to the following places, which may be of interest for search:

Process for applying liquids

B05D 1/00

Lithographic printing form preparation using heat

B41C 1/00, B41M 5/00

Printing form preparation by laser ingraving

B41C 1/05

Infrared sensitive printing plate

B41C 1/10, B41M 5/40

Inkjet printers, thermal printers

B41J

Nanostructures

B82Y 30/00

Inorganic glasses

C03C 3/00

Polymerization processes using a photoinitiator system

C08F 2/50

Addition polymers or copolymers, ethylenic monomers

C08F 220/00

Condensation polymers of aldehydes or ketones with phenols only, e.g. novolak resins

C08G 8/00

Polystyrenes

C08L 25/06

Homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof

C08L 33/00

Epoxide compounds, epoxy resins

C08L 63/00

Polyesters

C08L 67/00

Polyurethanes

C08L 75/04

Polyamides

C08L 77/00

Polyimides

C08L 79/08

Polysiloxanes

C08L 83/04

Organic dyes / pigments

C09B

Curable inks

C09D 11/10

Adhesives

C09J

Detergent compositions

C11D 1/00, C11D 3/00

Biological test and sensors

G01N 33/00

Optical fibres, mirrors, lenses

G02B

Colour filters (structures):

G02B 5/20

Liquid crystal display

G02F 1/00

Recording or reproducing by optical means, by modifying optical properties or the physical structure (holographic medium, optical disks, compact disks or CD, digital versatile disks or DVD)

G11B 7/00

Cathode ray tubes, electron or ion beam tubes

H01J 31/00, H01J 29/00

Glossary of terms

In this place, the following terms or expressions are used with the meaning indicated:

Photolithography

(1) Printing: Lithography in which the image is photographically transferred to the printing surface; esp. a planographic printing process using plates prepared from photographic negatives, usually printed by offset methods. (2) Electronics: A photoetching process in which a photomask is used in transferring the pattern to the photoresist, used esp. in the making of integrated circuits.

Radiation

Energy radiated in the form of electromagnetic waves or particles

Photoresist

Radiation-sensitive material, whose solubility is altered by exposure to radiation.

Negative-tone resist

The resist film beneath the clear areas of the photomask undergoes a chemical change that renders it insoluble in the developing solution.

Positive tone resist

The resist film beneath the clear areas of the photomask undergoes a chemical change that renders it soluble in the developing solution.

Imprint Lithography

A technique that creates patterns by mechanical deformation of imprint resist and subsequent processes.

Synonyms and Keywords

In patent documents, the following words/expressions are often used with the meaning indicated:

ARC

Antireflective coating

BARC

Bottom antireflective coating

CAR

Chemically amplified resist

DUV

Deep UV (248 nm)

EUV

Soft X-ray (13 nm)

Flexographic plate

Relief or intaglio printing plate

I-line

365 nm

LCD

Liquid crystal display

LIGA

Lithography Electroplating Molding

LER

Line edge roughness

Lithographic printing plate

Planographic printing plate or offset printing plate

PAG

Photoacid generator

PAC

Photoactive compound

PEB

Post exposure bake

Photomask

Optical mask

Relief Printing

Letterpress, flexography

Resin

Polymeric compound

Serigraphy

Screen printing

{Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping}
Definition statement

This place covers:

Micro- and nanostructuring of surfaces based on transfer printing and imprinting processes, specially adapted as alternatives for photolithographic processes. It also includes use of cantilevers for depositing, mechanically removing or displacing material for the same purpose.

References
Limiting references

This place does not cover:

Patterning of substrates with cantilevers, based on electrically induced processes

G03F 7/20

Patternwise deposition of biomolecules

A61K 9/00, B01J, B01L/00

Handling of individual nanostructures, atoms or molecular structures using cantilevers

B82B

Embossing processes for optical data carriers (CD, DVD)

G11B 7/00

Cantilevers or micropoint sources in e-beam lithography

H01J 37/00

Informative references

Attention is drawn to the following places, which may be of interest for search:

Embossing in general

B29C

Printing processes for forming pattern structures of organic semiconductive material

H10K 71/13

Glossary of terms

In this place, the following terms or expressions are used with the meaning indicated:

SFIL

Step and Flash Imprint Lithography

NIL

Nanoimprint lithography

Dip-Pen nanolithography, aka DPN

Deposition from cantilever using fluid transfer

{Filters, e.g. additive colour filters; Components for display devices}
Definition statement

This place covers:

Radiation-sensitive compositions for colour filters, black matrix, etc, and processes

References
Informative references

Attention is drawn to the following places, which may be of interest for search:

Colour filters (structures)

G02B 5/20

Liquid crystal displays

G02F 1/00

{Phase modulating patterns, e.g. refractive index patterns}
Definition statement

This place covers:

Radiation-sensitive compositions for holographic applications, and processes.

References
Limiting references

This place does not cover:

Holographic processes and apparatus using light

G03H 1/00

Recording or reproducing by optical means, by modifying optical properties or the physical structure (holographic medium, optical disks, compact disks or CD, digital versatile disks or DVD)

G11B 7/00

{Production of three-dimensional images}
Definition statement

This place covers:

Radiation-sensitive compositions for stereolithographic applications and processes.

Photosensitive materials (G03F 7/12, G03F 7/14 take precedence)
References
Limiting references

This place does not cover:

Production of screen printing forms or similar printing forms, e.g. stencils

G03F 7/12

Production of collotype printing forms

G03F 7/14

{providing an etching agent upon exposure (G03F 7/075 takes precedence; photolytic halogen compounds G03F 7/0295)}
References
Limiting references

This place does not cover:

Photolytic halogen compounds

G03F 7/0295

Silicon-containing compounds

G03F 7/075

{with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists (G03F 7/075 takes precedence)}
References
Limiting references

This place does not cover:

Silicon-containing compounds

G03F 7/075

{Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof (G03F 7/0044 takes precedence)}
Definition statement

This place covers:

e.g. use of metal alkoxide compounds (sol-gel technology) in photosensitive materials.

References
Limiting references

This place does not cover:

Organometallic compounds involving an interaction between the metallic and non-metallic component, e.g. photodope systems

G03F 7/0044

{with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors}
Definition statement

This place covers:

Photoacid generating compounds, photobase generating compounds; acid diffusion inhibiting compounds (i.e. quencher).

References
Limiting references

This place does not cover:

Diazonium compounds

G03F 7/016, G03F 7/021

Diazoquinone compounds

G03F 7/022, G03F 7/023

{with perfluoro compounds, e.g. for dry lithography (G03F 7/0048 takes precedence)}
Definition statement

This place covers:

Radiation-sensitive compositions containing fluorine-containing compounds in general

References
Limiting references

This place does not cover:

Photosensitive materials characterized by the solvents or agents facilitating spreading, e.g. surfactants

G03F 7/0048

{characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing}
Definition statement

This place covers:

Radiation-sensitive compositions containing inorganic microparticles as additives.

Azides (G03F 7/075 takes precedence)
References
Limiting references

This place does not cover:

Silicon-containing compounds

G03F 7/075

Macromolecular azides; Macromolecular additives, e.g. binders {(G03F 7/0085 takes precedence)}
References
Limiting references

This place does not cover:

Non-macromolecular azides characterized by the non-macromolecular additives

G03F 7/0085

Diazonium salts or compounds (G03F 7/075 takes precedence)
References
Limiting references

This place does not cover:

Silicon-containing compounds

G03F 7/075

Macromolecular diazonium compounds; Macromolecular additives, e.g. binders {(G03F 7/0166 takes precedence)}
References
Limiting references

This place does not cover:

Non-macromolecular diazonium salts characterised by the non-macromolecular additive

G03F 7/0166

Quinonediazides (G03F 7/075 takes precedence)
References
Limiting references

This place does not cover:

Silicon-containing compounds

G03F 7/075

Macromolecular quinonediazides; Macromolecular additives, e.g. binders {(G03F 7/0226 takes precedence)}
References
Limiting references

This place does not cover:

Quinonediazides characterised by the non-macromolecular additives

G03F 7/0226

{Condensation products of carbonyl compounds and phenolic compounds, e.g. novolak resins}
References
Informative references

Attention is drawn to the following places, which may be of interest for search:

Condensation polymers of aldehydes or ketones with phenols only, e.g. novolak resins

G03F 7/0236

Non-macromolecular photopolymerisable compounds having carbon-to-carbon triple bonds, e.g. acetylenic compounds (G03F 7/075 takes precedence)
References
Limiting references

This place does not cover:

Silicon-containing compounds

G03F 7/075

Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds (G03F 7/075 takes precedence)
Definition statement

This place covers:

Radiation-sensitive composition containing an addition polymerizable monomer having at least one ethylenically unsaturated double bond such as an acrylate or a methacrylate compound

References
Limiting references

This place does not cover:

Silicon-containing compounds

G03F 7/075

Informative references

Attention is drawn to the following places, which may be of interest for search:

Macromolecular compounds obtained by reactions involving carbon-to carbon unsaturated bonds

C08F 220/00

Inorganic compounds; Onium compounds; Organic compounds having hetero atoms other than oxygen, nitrogen or sulfur
Definition statement

This place covers:

Inorganic photoinitiators or photosensitizers; Photoinitiators or photosensitizers containing other elements than carbon, hydrogen, oxygen, nitrogen and sulfur

References
Informative references

Attention is drawn to the following places, which may be of interest for search:

Polymerization processes using a photoinitiator system

C08F 2/50

Organic compounds not covered by group G03F 7/029
Definition statement

This place covers:

Photoinitiators or photosensitizers containing the following elements only: carbon, hydrogen, oxygen, nitrogen and sulfur.

References
Limiting references

This place does not cover:

Organic compound having hetero atoms other than oxygen, nitrogen or sulfur

G03F 7/029

Informative references

Attention is drawn to the following places, which may be of interest for search:

Polymerization processes using a photoinitiator system

C08F 2/50

the binders being polymers obtained by reactions only involving carbon-to-carbon unsaturated bonds, e.g. vinyl polymers
Definition statement

This place covers:

Addition polymers as binders.

References
Informative references

Attention is drawn to the following places, which may be of interest for search:

Addition polymers or copolymers

C08F 220/00

Polystyrenes

C08L 25/06

Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof

C08L 33/00

the binders being polyurethanes
References
Informative references

Attention is drawn to the following places, which may be of interest for search:

Polyurethanes

C08L 75/04

the binders being polyamides or polyimides
Definition statement

This place covers:

e.g. polyamic acid, polybenzoxazoles, etc.

References
Informative references

Attention is drawn to the following places, which may be of interest for search:

Polyamides

C08L 77/00

Polyimides

C08L 79/08

Macromolecular compounds which are rendered insoluble or differentially wettable (G03F 7/075 takes precedence; macromolecular azides G03F 7/012; macromolecular diazonium compounds G03F 7/021)
Definition statement

This place covers:

Macromolecular photopolymerizable compounds; Non-macromolecular crosslinkable epoxy compounds are also classified in this subgroup.

References
Limiting references

This place does not cover:

Macromolecular azide compounds

G03F 7/012

Macromolecular diazonium compounds

G03F 7/021

The macromolecular compound being present in a chemically amplified negative photoresist composition

G03F 7/0382

Epoxidized novolak resins

G03F 7/0385

Silicon-containing compounds

G03F 7/075

Informative references

Attention is drawn to the following places, which may be of interest for search:

Epoxide compounds, epoxy resins

C08L 63/00

{using epoxidised novolak resin}
References
Informative references

Attention is drawn to the following places, which may be of interest for search:

Epoxy resins

C08L 63/00

{Polyamides or polyimides}
References
Informative references

Attention is drawn to the following places, which may be of interest for search:

Polyamides

C08L 77/00

Polyimides

C08L 79/08

Macromolecular compounds which are photodegradable, e.g. positive electron resists (G03F 7/075 takes precedence; macromolecular quinonediazides G03F 7/023)
Definition statement

This place covers:

Macromolecular and non-macromolecular photodegradable compounds.

References
Limiting references

This place does not cover:

Macromolecular quinonediazides

G03F 7/023

The macromolecular compound being present in a chemically amplified positive photoresist composition

G03F 7/0392

Silicon-containing compounds

G03F 7/075

Chromates (G03F 7/075 takes precedence)
References
Limiting references

This place does not cover:

Silicon-containing compounds

G03F 7/075

Silver salts (G03F 7/075 takes precedence)
References
Limiting references

This place does not cover:

Silicon-containing compounds

G03F 7/075

used for diffusion transfer {(G03F 7/063 takes precedence)}
References
Limiting references

This place does not cover:

Additives in silver salts systems; additives or means to improve the lithographic properties; processing solutions characterised by such additives; treatment after development or transfer, e.g. finishing, washing; correction or deletion fluids

G03F 7/063

{Non-macromolecular compounds containing silicon-to-silicon bonds (G03F 7/0752 takes precedence)}
Definition statement

This place covers:

Macromolecular compounds containing silicon-to-silicon bonds are also classified in this subgroup.

References
Limiting references

This place does not cover:

Silicon-containing compounds in non photosensitive layers or as additives

G03F 7/0752

{Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds (G03F 7/0752 takes precedence)}
References
Limiting references

This place does not cover:

Silicon-containing compounds in non photosensitive layers or as additives

G03F 7/0752

{Macromolecular compounds containing Si-O, Si-C or Si-N bonds (G03F 7/0752 takes precedence)}
Definition statement

This place covers:

Polymerized siloxanes or polysiloxanes (silicones), polysilazanes, etc.

References
Limiting references

This place does not cover:

Silicon-containing compounds in non photosensitive layers or as additives

G03F 7/0752

Informative references

Attention is drawn to the following places, which may be of interest for search:

Polysiloxanes

C08L 83/04

Photosensitive compositions characterised by adhesion-promoting non-macromolecular additives (G03F 7/075 takes precedence)
Definition statement

This place covers:

Adhesion-promoting macromolecular additives are also classified in this subgroup

References
Limiting references

This place does not cover:

Silicon-containing compounds used as adhesion-promoting additives

G03F 7/0751

characterised by structural details, e.g. supports, auxiliary layers (supports for printing plates in general B41N)
References
Informative references

Attention is drawn to the following places, which may be of interest for search:

Supports for printing plates in general

B41N

{characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement}
Definition statement

This place covers:

Antireflective compositions for bottom antireflective coating, top antireflective coating, etc.

having more than one photosensitive layer (G03F 7/075 takes precedence)
Definition statement

This place covers:

Versatile radiation-sensitive compositions (i.e. positive-negative tone) are also classified in this subgroup.

References
Limiting references

This place does not cover:

Photosensitive layers containing silicon-containing compounds

G03F 7/075

having cover layers or intermediate layers, e.g. subbing layers {(G03F 7/091 - G03F 7/093, B41N 3/03 take precedence)}
References
Limiting references

This place does not cover:

Antireflective coatings

G03F 7/091

Electroconductive coatings

G03F 7/093

Preparing for use and conserving printing surfaces

B41N 3/03

Coating processes; Apparatus therefor (applying coatings to base materials in general B05; applying photosensitive compositions to base for photographic purposes G03C 1/74)
Definition statement

This place covers:

Processes for coating a substrate. Specific treatments of the substrate before coating are also classified in this subgroup.

References
Limiting references

This place does not cover:

Applying coatings to base materials in general

B05D

Preparing for use and conserving printing surfaces

B41N 3/00

Applying photosensitive compositions to base for photographic purposes

G03C 1/74

{from the gas phase, by plasma deposition (G03F 7/2035 takes precedence)}
References
Limiting references

This place does not cover:

Simultaneous coating and exposure

G03F 7/2035

{Finishing the coated layer, e.g. drying, baking, soaking}
Definition statement

This place covers:

Edge bead removal is also classified in this subgroup.

Exposure; Apparatus therefor (photographic printing apparatus for making copies G03B 27/00)
References
Limiting references

This place does not cover:

Photographic printing apparatus for making copies

G03B 27/00

{in the presence of a fluid, e.g. immersion; using fluid cooling means}
Definition statement

This place covers:

Radiation-sensitive composition for immersion lithography, fluids used in immersion lithography.

{using a cantilever}
Definition statement

This place covers:

e.g. Atomic force microscopy, scanning tunnel exposure techniques.

{Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source (G03F 7/70 takes precedence)}
References
Limiting references

This place does not cover:

Apparatus for microlithography

G03F 7/70

{using a laser (ablative removal B41C)}
Definition statement

This place covers:

Multiphoton lithography is classified in this subgroup

References
Limiting references

This place does not cover:

Ablative removal of materials

B41C

Exposing with the same light pattern different positions of the same surface at the same time {(G03F 7/70 takes precedence)}
References
Limiting references

This place does not cover:

Apparatus for microlithography

G03F 7/70

Exposing sequentially with the same light pattern different positions of the same surface {(G03F 7/70 takes precedence)}
References
Limiting references

This place does not cover:

Apparatus for microlithography

G03F 7/70

Curved surfaces {(G03F 7/70 takes precedence)}
References
Limiting references

This place does not cover:

Apparatus for microlithography

G03F 7/70

Processing photosensitive materials; Apparatus therefor (G03F 7/12 - G03F 7/24 take precedence)
Definition statement

This place covers:

Various techniques of purification of radiation-sensitive materials are also classified in this subgroup.

References
Limiting references

This place does not cover:

Coating processes, apparatus thereof

G03F 7/16

Exposure, apparatus thereof

G03F 7/20 - G03F 7/24

{Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation}
Definition statement

This place covers:

Selective reaction with a reagent taking place before development. Selective reactions with organic reagents are also classified in this subgroup.

for obtaining powder images (G03F 3/10 takes precedence)
References
Limiting references

This place does not cover:

Checking the colour or tonal value of separation negatives or positives, using tonable photoresist or photopolymerizable systems

G03F 3/103

{from printing plates fixed on a cylinder or on a curved surface; from printing cylinders}
Definition statement

This place covers:

On press development is also included in this subgroup.

Liquid compositions therefor, e.g. developers
References
Limiting references

This place does not cover:

Gumming or finishing solutions for printing plates

B41N 3/08

Imagewise removal not covered by groups G03F 7/30 - G03F 7/34, e.g. using gas streams, using plasma
Definition statement

This place covers:

e.g. thermal development, development using gas streams, using plasma, photoembossing.

References
Limiting references

This place does not cover:

Imagewise removal using liquid means

G03F 7/30

Imagewise removal by selective transfer, e.g. peeling away

G03F 7/34

Etching (semiconductor technology)

H01L 21/00

Treatment before imagewise removal, e.g. prebaking {(G03F 7/265 takes precedence)}
Definition statement

This place covers:

Treatments such as post exposure bake

References
Limiting references

This place does not cover:

Selective reaction with organic, inorganic or organometallic reagents before imagewise removal

G03F 7/265

Treatment after imagewise removal, e.g. baking
Definition statement

This place covers:

Treatments such as post-development bake, thermal flow, pattern profile improvement.

{Treatment with inorganic or organometallic reagents after imagewise removal}
Definition statement

This place covers:

Treatments with organic reagents after imagewise removal are also classified in this subgroup.

Stripping or agents therefor
Definition statement

This place covers:

Stripping involving the use of a combination of means, e.g. plasma and radiation.

{using liquids only (G03F 7/421 takes precedence)}
References
Limiting references

This place does not cover:

Using biological means only, e.g. enzymes

G03F 7/421

Informative references

Attention is drawn to the following places, which may be of interest for search:

Detergent compositions

C11D 1/00, C11D 3/00

{containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds}
Definition statement

This place covers:

Stripping agents containing organic oxidizing substances are also classified in this subgroup.

{containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides}
Definition statement

This place covers:

Stripping agents containing carboxylic acids or salts thereof are also classified in this subgroup.

{using plasma means only}
Definition statement

This place covers:

Stripping treatments using plasma, gas or supercritical fluids.

Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically (G03F 7/22 takes precedence; preparation of photographic masks G03F 1/00; within photographic printing apparatus for making copies G03B 27/00)
Definition statement

This place covers:

Positioning and alignment of originals, i.e. mask or reticle with the target substrate to be exposed by actinic radiation. Positioning and alignment of a radiation beam with respect to the target in direct-write lithographic processes. Alignment marks on mask and target. Devices for carrying out the positioning and alignment.

References
Limiting references

This place does not cover:

Positioning in the preparation of photographic masks

G03F 1/00

Positioning in exposing sequentially with the same light pattern different positions of the same surface

G03F 7/22

Positioning of printing elements

B41F, B41N

Positioning within photographic printing apparatus for making copies

G03B 27/00

Positioning ion beams for patterning purposes

H01J 37/00

Overlay of successive layers in a multilayer patterned semiconductor or solid state device

H01L

Informative references

Attention is drawn to the following places, which may be of interest for search:

Detection of the position of an object on a substrate

G01B

{for microlithography (measuring printed patterns for monitoring overlay G03F 7/70633 or focus G03F 7/70641; projection system adjustment G03F 7/70258; position control G03F 7/70775)}
Definition statement

This place covers:

Aligning an original with a workpiece in a lithographic apparatus. Alignment marks for originals or workpieces. Devices for carrying out the aligning.

References
Limiting references

This place does not cover:

Illumination system adjustment, alignment during assembly of illumination system and regular adjustment

G03F7/20T14

Beam registration in direct write photolithography

G03F7/20T18

Projection system adjustment, alignment during assembly of projection system and regular adjustment

G03F 7/70258

Measuring printed patterns for overlay control

G03F 7/70633

Measuring printed patterns for focus control

G03F 7/70641

Positioning device and position control in a lithographic apparatus

G03F 7/70775

Alignment of original or workpiece in charged particle beam lithography

H01J37/317B27

Informative references

Attention is drawn to the following places, which may be of interest for search:

Photomasks

G03F 1/00

Alignment marks specific to photomasks

G03F 1/62

Lithographic processes using patterning methods other than those involving exposure to radiation, e.g. by imprinting or transfer printing

G03F 7/0002

Apparatus for microlithography

G03F 7/70

Glossary of terms

In this place, the following terms or expressions are used with the meaning indicated:

Lithography

micro- and nanoprojection, proximity or contact photolithography; micro- and nanoimprint or transfer printing lithography

Original

e.g. reticle, photomask, addressable mask, imprint mold, stamp

Workpiece

target substrate to be patterned via lithography

Aligning

includes aligning in the substrate plane (i.e. aligning as such) and perpendicularly to the substrate plane (i.e. focussing or gap setting)