Skip header and go to main content

Classification Resources
 

 [Search a list of Patent Appplications for class 216]   CLASS 216,ETCHING A SUBSTRATE: PROCESSES
Click here for a printable version of this file

SECTION I - CLASS DEFINITION

Class 216 is an integral part of Class 156. It incorporates all the definitions, rules, and hierarchy pertaining to subject matter of Class 156.

GENERAL STATEMENT OF CLASS 216 SUBJECT MATTER:

This is the Generic class for chemical etching processes for treating articles of commerce or intermediate articles not otherwise provided for in which one of the manufacturing steps includes a chemical etching step (use of an etchant) and wherein the material treated is not completely removed.

(1) Note. The chemical etching required for placement in this class is any intentional change of shape of an article or substrate by the removal of material involving a chemical reaction or physical solvation using a chemical agent (the etchant). This is the residual class for removing a surface by chemical reaction or solvent action regardless of the substrate acted on. Bright Polishing of a metal with acid solution is considered etching and appropriate for this class. The processes of the patents in this class often include the formation of a design, but the production of a design is not required to place the patents here.
(2) Note. Reduction in size using a chemical etchant of an unmasked article, substrate or unmasked geometric figure, e.g., sphere, etc. is proper for this class.
(3) Note. Etching of a masked article, to direct the etchant to only a portion of the article or substrate is proper for this class.
(4) Note. This class is not intended for the total dissolving of an article or substrate. Furthermore, the remaining article or substrate must be the desired product and not the removed material. An example of a process not proper for Class 216 would be ore beneficiating where the dissolved material is what is desired and useful and not the remaining residue material. Additionally a process of dissolving out impurities or unwanted material to leave a desired article is proper for this class when said process is not provided for elsewhere.
(5) Note. Chemical milling is considered within the scope of the term etching.
(6) Note. Class 216 provides only for etching processes. Etching compositions are elsewhere. See Lines With Other Classes, below.

SECTION II - LINES WITH OTHER CLASSES AND WITHIN THIS CLASS

Class 216 is an integral part of Class 156. It incorporates all the definitions, rules, and hierarchy pertaining to subject matter of Class 156.

Etching compositions are in Class 252, subclasses 79.1-79.4 (see the class line below in regards to Class 252 and nominal etching processes) and etching apparatus is classifiable in Class 156, subclasses 345.1-345.55. Compounds dis as useful in etching are classified in the respec compound classes and articles which are produced as the result of an etching process are classified in the appropriate article class or stock material class.

A. SPECIAL CLASS LINES

1. CLASS 29, METAL WORKING

Class 216 has several important relationships with this Class 29. Both classes take designated single-step processes, and both are locations for multistep processes for manufacturing designated products or using certain combinations of steps.

Single-step processes to produce a Class 29 special product, include shaping particulate metal by pressure alone. In addition Class 29 provides, for example, for processes of burnishing, filing, or the mechanical joining of parts to manufacture the special article. Where only an etching step is claimed, the process is assigned to Class 216, even though a product designated for Class 29 is manufactured.

Multistep processes for Class 29 are of two types: (a) Those for making specified articles, enumerated in that part of Class 29 schedule which precedes subclass 400.1.; (b) Multistep manufacturing processes not provided for elsewhere.

Insofar as processes of type (a) are concerned, these are assigned to Class 29, even when an etching step is claimed as part of the multistep process, except for processes classifiable in subclasses 825+, which follows the category (b) rule given in the next paragraph.

Processes of type (b) are provided for in Class 216 when they claim: (1) Etching combined with the shaping of a nonmetal; (2) Etching either nominal or specific combined with broad or nominally claimed metal shaping steps; (3) Specific etching including steps for assembling parts that have been etched or are to be etched;

Processes of type (b) are classified in Class 29 when they claim: (1) Nominal etching combined with specific metal shaping steps; (2) Nominal etching combined with mechanical joining, either broad or specific.

2. CLASS 438 SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS.

Classification is proper for Class 438:

(1) When the specification contains only disclosure of semiconductive material, precursor, substrate, or device and the claims are generic in nature the classification is proper for Class 438.

(2). When the specification contains disclosure to species of semiconductive material, precursor, substrate, or device and nonsemiconductive species and the claims are directed to the semiconductive material species only classification is proper for Class 438.

(3) When the specification contains disclosure to species of semiconductive material, precursor, substrate, or device only and the claims are directed to semiconductive material species only classification is proper for Class 438.

Classification is proper for Class 216:

(1) When the specification contains disclosure to species of semiconductive material, precursor, substrate, or device and nonsemiconductive species and the claims are generic in nature the classification is proper for Class 216 Etching.

(2) When the specification contains disclosure to species of semiconductive material, precursor, substrate, or device and nonsemiconductive species and the claims contain semiconductive species and nonsemiconductive species classification is proper for Class 216 Etching.

(3) When the specification contains disclosure to semiconductive material used in a nonelectrical function and the claims contain semiconductive material used in nonelectrical function classification is proper for Class 216 Etching.

B. GENERAL LINE WITH OTHER CLASSES

1. CLASS 134 CLEANING AND LIQUID CONTACT WITH SOLIDS

Class 134 is noted for cleaning processes wherein a surface is treated to remove or separate unwanted adherent material, e.g., dirt, scale, coatings, etc. Class 134, subclasses 1.1 through 1.3 provide for processes of cleaning using a plasma and for cleaning of semiconductive material. Subclasses 2 and 3 are noted for chemical bleaching, oxidation, or reduction of a metallic siliceous or calcareous base.

2. CLASS 204 CHEMISTRY: ELECTRICAL AND WAVE ENERGY and CLASS 205 ELECTROLYSIS: PROCESSES, COMPOSITIONS USED THEREIN AND METHODS OF PREPARING THE COMPOSITIONS

Chemical etching performed on a composition, product, or article made by a Class 204 process (e.g., an entire article previously etched by electrolysis, a product portion modified by electrolytic material treatment, etc.) is considered to provide a significant modification of the composition, product, or article made by the Class 204 process, therefore placing the combination of a Class 204 process followed by Class 216 chemical etching in Class 216. However, the combination of a Class 205 electrolytic coating step followed by Class 216 chemical etching performed (1) to allow at least a portion of the electrolytic coating to remain and (2) to only significantly modify the electrolytic coating, per se, is considered to be an electrolytic coating process followed by a mere perfecting step for the electrolytic coating, therefore placing the combination in Class 205. The significant modification of only the electrolytic coating allows for mere incidental alterations to other portions of a coated article such as the substrate provided that such changes are clearly unintentional (e.g., chemical etching through an electrolytic coating which may also progress into the substrate in some areas to allow complete penetration through all desired other areas of the electrolytic coating, etc.). Class 216 also provides for the original classification when the claims are alternative (claims to a Class 216 process and claims to a Class 205 electrolytic etching, or when a generic claim is present and no species is specifically claimed). When, however, a generic claim is present (disclosure includes both Class 216 and Class 204 or Class 205 subject matter) and a Class 204 or Class 205 species is specifically claimed, and there is no specific claim to a Class 216 etching process, the original classification is in Class 204 or Class 205 and a mandatory cross-reference is placed into Class 216 based on the generic claim.

Other combinations of Class 204 step(s) with Class 216 step(s) will follow the general class line for the combination of Class 204 step(s) with those from other classes as stated at the beginning of Class 204. In particular, see Class 205, subclasses 123+, for electrolytic coating of or with semiconductor material, subclasses 125+ for electrolytic coating to produce a circuit board or a printed circuit, subclasses 206+ for contacting a substrate with a solid member or material (e.g., polishing, rolling, etc.) combined with a subsequent step of electrolytic coating, subclasses 210+ for treating a substrate with a liquid other than tap water (e.g., chemical etching, etc.) combined with a subsequent step of electrolytic coating, subclasses 640+ for electrolytic erosion of a workpiece to change the shape or surface configuration thereof, and subclasses 687+ for electrolytic material treatment, in general (e.g., electrolytic stripping of an entire coated layer from a substrate, etc.).

3. CLASS 219 ELECTRIC HEATING

See Class 219, subclasses 121.36+ for removing or altering material by the use of a high temperature thermal plasma. Class 216 provides for the use of a cold plasma which is a chemical etching process involving reactive ions and a substrate whereas the high temperature thermal plasma proper for Class 219 alters the substrate solely by thermal means. Class 219 subclasses 68+ provide for processes of cutting or disintegrating metal by metal heating, subclasses 121.19+ for processes of using an electron beam or etching or trimming metal, subclasses 121.36+ for processes of using a high temperature thermal plasma to etch metal, and subclasses 121.6+ for processes of using a laser to etch metal.

4. CLASS 264 PLASTIC AND NONMETALLIC ARTICLE SHAPING OR TREATING: PROCESSES

Combinations of shaping a plastic material and etching the shaped product, or combinations of shaping, laminating, and etching are proper for Class 216. Laser ablation of a Class 264 material in the absence of an added reactive chemical is proper for Class 264, however, when a reactive chemical is used in the laser ablation process the process is classifiable in Class 216. Subclasses 22+ for the shaping of an article by removing a portion by electrical or wave energy, e.g., laser ablation wherein no chemical etchant is employed, etc., subclass 49 for forming pores in situ by treating an occluded solid, subclasses 82+ for reactive gas or vapor treatment of an article, subclasses 211.12+ for processing or treating after extruding, subclasses 219+ for processes of preparing a mold which includes an etching step in the mold manufacture followed by a shaping operation, and subclass 341 for the solvent polishing of a shaped or solid article.

5. CLASS 427 COATING PROCESSES

Subclasses 307+ for processes limited to etching for making a base more compatible with or adherent to the coating wherein the base is the substrate (work) onto which a coating is to applied.

6. CLASS 430 RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF

For processes of radiation imagery including claimed exposing and finishing by a post imaging process, e.g., developing, etching, etc. or finishing an image, e.g., developing, etching, etc. where the claim is silent as to an exposure step.

Subclass 5 for producing a radiation mask, subclasses 313+ for a post imaging process of treating a resist to remove a portion of the same.

SECTION III - REFERENCES TO OTHER CLASSES

SEE OR SEARCH CLASS:

8Bleaching and Dying; Fluid Treatment and Chemical Modification of Textiles and Fibers,   appropriate subclasses for chemical methods of dyeing fabric and the like and/or forming an ornamental effect by the chemical modification of a fiber or fabric.
28Textiles: Manufacturing,   is the generic class for textile operations, especially subclasses 167+ , 178+, 261, and 265+ for significantly claimed textile operations combined with steps of coating, dyeing, fluid treatment.
51Abrasive Tool Making Process, Material, or Composition,   subclasses 293+ for processes of making an abrading tool.
65Glass Manufacturing,   subclass 31 for etching or leaching a glass preform, and subclass 61 for the wearing away, abrading, or grinding of surface material.
75Specialized Metallurgical Processes, Compositions For Use Therein, Consolidated Metal Powder Compositions, and Loose Particulate Mixtures,   subclasses 715+ for the etching of a metal to recover it from another metal.
101Printing,   subclass 463.1 , for processes of making a printing plate.
112Sewing,   subclass 403 for a sewn web or sheet including a decomposable thread or component.
144Woodworking,   subclass 358 for embossing or imprinting of wood.
148Metal Treatment,   for treating of metal to modify or maintain the internal physical structure (microstructure) or chemical properties of the metal. Heating and working is most often used to effect these changes.
166Wells,   subclass 307 for methods of treating a geologic formation in a well bore which may include an etching step.
252Compositions,   subclasses 79.1+ provides for patents which in addition to an etching composition claim also contain a claim to a nominal process of etching even though the composition of the material treated is recited. Any detail in the treating step, e.g., dipping, spraying, etc., is sufficient to render the process more than nominal and proper for Class 216. Class 216 is proper for a nominal etching process when the etching composition is not claimed. Subclasses 80+ for descaling agents and 89.1+, appropriate subclasses, for detergents containing acid or alkaline agents which may etch the substances treated and for mere methods of use when the composition is claimed.
356Optics: Measuring and Testing,   subclass 31 for methods of determining the orientation of the cystallos:graphic axis of a crystal which includes etching a surface and then optically observing the etched surface.
419Powder Metallurgy Processes,   for etching combined with a metal powder consolidation step, subclasses 5+ for etching or dissolving of a preformed component, e.g., core, etc. to form a hollow metal article.
423Chemistry of Inorganic Compounds,   subclasses 1+ for processes of treating a mixture to obtain an inorganic compound or free elemental nonmetal which may involve a leaching or dissolving step. Also this class generally provides for processes involving a chemical reaction.
521Synthetic Resins or Natural Rubbers,   subclasses 61+ for forming a cellular product without expanding of the matrix.
588Hazardous or Toxic Waste Destruction or Containment,   appropriate subclasses for the chemical destruction or containment of hazardous or toxic etching waste.

SECTION IV - GLOSSARY

Terms used throughout the schedule and definitions are to have the meaning ascribed below.

Generally accepted or commonly used art terms retain their meaning found in their everyday usage and are not found in this glossary. Certain specialized terms are employed in these subclasses and they have been given definitions altered to meet the needs of this class. Some or all of the terms may be broader or more restricted as well as different in meaning compared to normal usage.

ACID

A chemical compound which yields hydrogen ions when dissolved in water, whose hydrogen can be replaced by metals or basic radicals, or which reacts with bases to form salts and water (neutralization).

GAS

Matter of very low density and viscosity, and relatively great expansion and contraction with changes in pressure and temperature, that is readily diffusive, with a tendency to expand indefinitely, with molecules or atoms in free movement. The term gas includes vapor.

GLASS

Must have all of the attributes described in 1-5 below:

(1) An inorganic product the constituents of which generally include a glass former (e.g., As2O3, B2O3, GeO2, P2O5, SiO2, V2O5) which has an essential characteristic of creating or maintaining, singularly, or in a mixture that type of structural disorder characteristic of a glassy condition, other oxides which approach glass forming properties (e.g., Al2O3, BeO, PbO, Sb2O3, TiO2, ZnO, and ZrO2), as well as oxides that are practically devoid of glass forming tendencies (e.g. BaO, CaO, K2O, Li2O, MgO, Na2O, and SrO). Pure and modified silica, silicon, and slag are also included.

(2) Formed by fusion and cooled to a rigid condition generally without crystallization.

(3) Having no definite melting point, whereby the mass has the characteristic of passing through a plastic state before reaching a liquid state when heated.

(4) Incapable in the solid state of permanent deformation.

(5) Fractures when subject to deformation tension.

INORGANIC

Pertaining to compounds that do not generally contain carbon and to elements in their free form. It relates to any of the compounds not encompassed under the term Organic defined below in this Glossary.

METAL

As found in the periodic table of the elements, is any element not named in the following listing, all group VIII, VIIB, VIB elements except polonium, nitrogen, phosphorus, carbon, silicon, and boron.

ORGANIC

Is a compound containing carbon, which is further characterized by the presence in the molecule of two carbon atoms bonded together; or one atom of carbon bonded to at least one atom of hydrogen or halogen; or one atom of carbon bonded to at least one atom of nitrogen by a single or double bond. The following compounds are specifically excluded as being Organic for classification purposes, to-wit: hydrocyanic acid, cyanogen, isocyanic acid, cyanamide, cyanogen halides, isothiocyanic acid, fulminic acid, and metal carbides.

PLASMA

A gas that is sufficiently ionized for its properties to depend on the ionization. It contains approximately equal numbers of positive ions and electrons, so the mixture is electrically neutral, highly conductive, and affected by magnetic fields. A thermal plasma is produced by temperatures above 20,000 degrees centigrade.

RADIATION

The propagation of energy through space or through a material. It may be in the form of electromagnetic waves, corpuscular emissions or sound waves. The format is usually categorized according to frequency, e.g., Hertzian, infrared, visible light, ultraviolet, X-ray, gamma ray, etc. Corpuscular emissions are categorized as alpha, beta, or cosmic rays.

SUBSTRATE

The entire article or workpiece contacted by the chemical reagent, except for materials which have been applied to an article or workpiece for the sole expressed purpose of protecting at least a portion thereof from the action of the chemical material, i.e., a resist.

SUBCLASSES

[List of Patents for class 216 subclass 2]    2ETCHING OF SEMICONDUCTOR MATERIAL TO PRODUCE AN ARTICLE HAVING A NONELECTRICAL FUNCTION:
 This subclass is indented under the class definition.  Process of etching a semiconductive precursor, substrate, or device to produce an article whose function is not related to the conduction of electricity.
(1) Note. This subclass is directed to the manufacture of a semiconductor containing article which has a stated function which is nonelectrical in nature, e.g., pressure diaphragm, spring, or coil, etc.

SEE OR SEARCH CLASS:

438Semiconductor Device Manufacturing: Process,   for (a) combined processes and (b) unit operation not elsewhere provided for manufacturing a semiconductive electrical substrate or device.
  
[List of Patents for class 216 subclass 3]    3FORMING OR TREATING JOSEPHSON JUNCTION ARTICLE:
 This subclass is indented under the class definition.  Process wherein etching is used in the forming or treating of a superconductive material which is joined in a Josephson Junction containing a pair of superconductive electrodes which are separated by a thin, less conductive portion through which superconductor tunneling may occur.

SEE OR SEARCH CLASS:

257Active Solid-State Devices (e.g., Transistors, Solid-State Diodes),   subclasses 31+ for a Josephson Junction device.
505Superconductor Technology: Apparatus, Material, Process,   subclass 329 and subclasses 410+ for the use of high temperature superconductor material in applications, apparatus, and the materials, per se, not provided for elsewhere.
  
[List of Patents for class 216 subclass 4]    4FORMING OR TREATING A SIGN OR MATERIAL USEFUL IN A SIGN:
 This subclass is indented under the class definition.  Process wherein etching is used in the forming or treating of a sign for the display of intelligence by way of symbols or for material useful in preparing a sign.

SEE OR SEARCH THIS CLASS, SUBCLASS:

8,for forming or treating a cylindrical or tubular article having pattern or design on its surface.

SEE OR SEARCH CLASS:

40Card, Picture, or Sign Exhibiting,   subclasses 541+ for an illuminated sign.
  
[List of Patents for class 216 subclass 5]    5Sign or material is electroluminescent:
 This subclass is indented under subclass 4.  Process wherein the sign or material adiabatically emits light in an electric field.
  
[List of Patents for class 216 subclass 6]    6FORMING OR TREATING MATERIAL USEFUL IN A CAPACITOR:
 This subclass is indented under the class definition.  Process wherein etching is used in the forming or treating of materials that may be used in a device composed of two conductors separated by a dielectric capable of storing electrical energy blocking the flow of direct current to a degree dependent upon the capacitance and the frequency.

SEE OR SEARCH CLASS:

29Metal Working,   for making special articles as defined in the etching class definition, note subclass 25.03 for electrolytic device making, e.g., capacitor, etc., and subclasses 25.41+ for electric condenser making.
174Electricity: Conductors and Insulators,   subclass 143 for bushing-type condenser.
361Electricity: Electrical Systems and Devices,   subclasses 268+ for induction apparatus combined with electrical capacitors, subclasses 271+ for electrostatic capacitors, subclasses 277+ for variable-type electrical capacitors, and subclasses 500+ for electrolytic-type condensers.
  
[List of Patents for class 216 subclass 7]    7FORMING OR TREATING FIBROUS ARTICLE OR FIBER REINFORCED COMPOSITE STRUCTURE:
 This subclass is indented under the class definition.  Process wherein etching is used in the forming or treating of an article or structure containing fibers.
  
[List of Patents for class 216 subclass 8]    8FORMING OR TREATING CYLINDRICAL OR TUBULAR ARTICLE HAVING PATTERN OR DESIGN ON ITS SURFACE:
 This subclass is indented under the class definition.  Process wherein etching is used in the forming or treating of a cylindrical or tubular article having a pattern or design on the article surface, e.g., pattern roll, etc.

SEE OR SEARCH CLASS:

205Electrolysis: Processes, Compositions Used Therein, and Methods of Preparing the Compositions,   subclass 118 for electrolytic coating of selected areas.
427Coating Processes,   subclasses 256+ for nonuniform coating.
  
[List of Patents for class 216 subclass 9]    9Forming or treating an embossing cylinder or tubular article:
 This subclass is indented under subclass 8.  Process wherein the cylinder or tubular article has a design or pattern raised in relief above its surface and which is intended to impress the design or pattern on other work.
  
[List of Patents for class 216 subclass 10]    10Forming or treating liquid transfer cylinder or tubular article (e.g. printing roll, etc.):
 This subclass is indented under subclass 8.  Process wherein the cylinder or tubular article is intended to transfer liquid.

SEE OR SEARCH CLASS:

101Printing,   subclasses 212+ for rolling contact printing machines.
  
[List of Patents for class 216 subclass 11]    11FORMING OR TREATING AN ARTICLE WHOSE FINAL CONFIGURATION HAS A PROJECTION:
 This subclass is indented under the class definition.  Process wherein etching is used in the forming or treating of an article whose final shape has at least one raised area above the normal planar surface, e.g.; pointer, sound needle, etc.

SEE OR SEARCH CLASS:

438Semiconductor Device Manufacturing: Process,   subclass 20 for manufacturing an electron emissive device utilizing a semiconductive substrate.
445Electric Lamp or Space Discharge Component or Device Manufacturing,   subclasses 46+ for making an electrode having a projection.
604Surgery,   subclasses 187+ for an aspirator syringe or injector.
  
[List of Patents for class 216 subclass 12]    12FORMING OR TREATING MASK USED FOR ITS NONETCHING FUNCTION (E.G., SHADOW MASK, X-RAY MASK, ETC.):
 This subclass is indented under the class definition.  Process wherein etching is used in forming or treating a mask whose function is other than as an etch mask or etch resist, e.g., shadow mask, X-ray mask, etc.

SEE OR SEARCH THIS CLASS, SUBCLASS:

41+,for the masking of a substrate using an etch resist.
56,for making a porous or perforated article not used for masking.

SEE OR SEARCH CLASS:

378X-Ray or Gamma Ray Systems or Devices,   subclass 35 for mask used in a nonetching function.
430Radiation Imagery Chemistry: Process, Composition, or Product Thereof,   subclass 5 for a radiation mask produced by a photos:graphic step.
  
[List of Patents for class 216 subclass 13]    13FORMING OR TREATING ELECTRICAL CONDUCTOR ARTICLE (E.G., CIRCUIT, ETC.):
 This subclass is indented under the class definition.  Process wherein etching is used in the forming or treating of an electrical conductor.
(1) Note. The conductor maybe either a self sustaining conductor or a conductor on a supporting substrate, e.g., a printed circuit, etc.

SEE OR SEARCH CLASS:

205Electrolysis: Processes, Compositions Used Therein, and Methods of Preparing the Compositions,   subclass 125 where the product is a circuit board or printed circuit.
427Coating Processes,   subclasses 96.1 through 99.5for a process of coating a substrate to produce an integrated or printed circuit or circuit board.
428Stock Material or Miscellaneous Articles,   cross-reference art collection 901 for a printed circuit.
  
[List of Patents for class 216 subclass 14]    14Forming or treating lead frame or beam lead:
 This subclass is indented under subclass 13.  Process directed to the forming or treating of (a) a group of metal leads held together in the proper relationship to permit connection to a semiconductor die (integrated circuit), or (b) an intermediate article of manufacture utilized to produce electrical connecting structure for circuits consisting of a generally flat electrically conductive strap or band section which has been stamped, cut or otherwise deformed, to produce an open encasing structure provided with a plurality of generally rectangular cross-sectional inwardly facing bodies designed to be attached to the circuit in a cantilever fashion.

SEE OR SEARCH CLASS:

29Metal Working,   subclass 827 for beam lead frame or beam lead device manufacturing including a metal working step.
  
[List of Patents for class 216 subclass 15]    15Forming or treating a crossover:
 This subclass is indented under subclass 13.  Process directed to the forming or treating of a circuit where one conductor crosses over another without electrical contact due to the presence of an insulator therebetween.
  
[List of Patents for class 216 subclass 16]    16Forming or treating resistive material:
 This subclass is indented under subclass 13.  Process directed to the forming or treating of material which is generally used in a circuit containing a conductor, and which material is intended to impede the flow of a steady or fluctuating electrical current passing through the mass by conversion of electrical energy to heat.

SEE OR SEARCH CLASS:

29Metal Working,   subclass 610.1 for resistor making and subclass 621.1 for electrical strain gauge making.
252Compositions,   subclasses 500+ for an electrically conductive or emissive composition.
257Active Solid-State Devices (e.g., Transistors, Solid-State Diodes),   which include resistive materials in their construction.
338Electrical Resistors,   for electrical resistor structure.
  
[List of Patents for class 216 subclass 17]    17Forming or treating of groove or through hole:
 This subclass is indented under subclass 13.  Process directed to forming or treating (a) a depression of relatively small area which is formed partially or completely through an electrical conductor or a substrate supporting an electrical circuit, or (b) an elongated relatively narrow trench which is partially or completely through an electrical conductor or substrate.
  
[List of Patents for class 216 subclass 18]    18Filling or coating of groove or through hole with a conductor to form an electrical interconnection:
 This subclass is indented under subclass 17.  Process directed to filling or coating of groove or through hole with an electrically conductive material so as to provide an electrical interconnection in a circuit.
  
[List of Patents for class 216 subclass 19]    19Filling or coating of groove or through hole in a conductor with an insulator:
 This subclass is indented under subclass 17.  Process directed to filling or coating of groove or through hole with an insulator to thus form an insulated region in or on a conductor.
  
[List of Patents for class 216 subclass 20]    20Adhesive or autogenous bonding of self-sustaining preforms (e.g., prefabricated base, etc.):
 This subclass is indented under subclass 13.  Process including a step of adhesively or autogeneously bonding two or more self-sustaining preforms and wherein the final product contains the two or more adhered layers.
(1) Note. A preformed etching mask or resist is not considered as being a preform proper for this subclass if it ultimately to be removed. See subclasses 41+ for etching processes involving a preformed etch mask or resist.
  
[List of Patents for class 216 subclass 21]    21Repairing circuit:
 This subclass is indented under subclass 13.  Process directed to the refurbishing, repairing, or recovery of a circuit as to its electrical function.
  
[List of Patents for class 216 subclass 22]    22FORMING OR TREATING ARTICLE CONTAINING MAGNETICALLY RESPONSIVE MATERIAL:
 This subclass is indented under the class definition.  Process wherein etching is used in the forming or treating of an article which contains material therein which is responsive to magnetism.

SEE OR SEARCH CLASS:

29Metal Working,   subclasses 607+ for the making of permanent magnets and electromagnets.
  
[List of Patents for class 216 subclass 23]    23FORMING OR TREATING ARTICLE CONTAINING A LIQUID CRYSTAL MATERIAL:
 This subclass is indented under the class definition.  Process wherein etching is used in the forming or treating of an article which contains an ordered liquid, i.e., liquid crystal.

SEE OR SEARCH CLASS:

252Compositions,   subclasses 299.01+ for liquid crystal compositions.
428Stock Material or Miscellaneous Articles,   subclass 1 for liquid crystal containing stock material.
438Semiconductor Device Manufacturing: Process,   subclass 30 for manufacturing a liquid crystal display device utilizing a semiconductive substrate having an electrical device formed therein or thereon.
  
[List of Patents for class 216 subclass 24]    24FORMING OR TREATING OPTICAL ARTICLE:
 This subclass is indented under the class definition.  Process wherein etching is used in forming or treating of an article which is either responsive to light, e.g., visible, UV, etc. or which is intended to transmit, modify, or otherwise alter light, e.g., visible, UV, etc.

SEE OR SEARCH CLASS:

65Glass Manufacturing,   subclasses 37+ for lens making.
264Plastic and Nonmetallic Article Shaping or Treating: Processes,   subclasses 1.1+ for optical article shaping or treating.
430Radiation Imagery Chemistry: Process, Composition, or Product Thereof,   subclass 321 for optical device manufacturing using an imaging technique.
  
[List of Patents for class 216 subclass 25]    25Phosphor screen:
 This subclass is indented under subclass 24.  Process wherein the optical article is a screen containing phosphor elements, these elements phosphorescing (continuous emission of light) when stimulated by an external energy source which is usually radiation.

SEE OR SEARCH CLASS:

250Radiant Energy,   subclasses 458.1+ for luminophor irradiation, and subclasses 483.1+ for luminescent device.
252Compositions,   subclass 301.16 for an organic luminescent material containing composition, subclass 301.4 for an inorganic luminescent composition.
313Electric Lamp and Discharge Devices,   subclasses 525+ for a device containing a phosphor screen.
  
[List of Patents for class 216 subclass 26]    26Lens:
 This subclass is indented under subclass 24.  Process wherein the optical article contains transparent material which converges or diverges light by refraction.
  
[List of Patents for class 216 subclass 27]    27FORMING OR TREATING THERMAL INK JET ARTICLE (E.G., PRINT HEAD, LIQUID JET RECORDING HEAD, ETC.):
 This subclass is indented under the class definition.  Process wherein etching is used in the forming or treating of an article whose ultimate use is to expel an ink droplet, and in which the ink droplet is produced by the action of thermal energy to form a propelling vapor.

SEE OR SEARCH CLASS:

346Recorders,   subclass 1.1 for processes of using thermal ink jet devices, subclass 75 for the use of a gas blast against a receiver in printing.
438Semiconductor Device Manufacturing: Process,   subclass 21 for manufacturing an electrical device controlled printhead utilizing a semiconductive substrate having an electrical device formed therein or thereon.
  
[List of Patents for class 216 subclass 28]    28FORMING OR TREATING AN ORNAMENTED ARTICLE:
 This subclass is indented under the class definition.  Process wherein etching is used in the forming or treating of an article, which article possesses an effect which is intended to be decorative in nature, and which effect possess little or no utilitarian function or character, and wherein the article itself may or may not possess some form of utility.

SEE OR SEARCH CLASS:

63Jewelry,   for ornamented jewelry.
  
[List of Patents for class 216 subclass 29]    29Wood surface treated or wood grain produced:
 This subclass is indented under subclass 28.  Process wherein the treating is performed on the surface of a wood product to produce a decorative wood product, or wherein a nonwood product is treated to produce a wood grain appearance.
  
[List of Patents for class 216 subclass 30]    30Treating stone (e.g., marble, etc.):
 This subclass is indented under subclass 28.  Process wherein stone is treated, to produce a decorative effect thereon, e.g., marble, etc.
  
[List of Patents for class 216 subclass 31]    31Treating glass (e.g., mirror, etc.):
 This subclass is indented under subclass 28.  Process wherein glass is treated to produce a decorative effect thereon, e.g., mirror, etc.

SEE OR SEARCH THIS CLASS, SUBCLASS:

80,for etching of a silicon containing glass by a gas phase etchant.
97,for etching of glass by nonliquid phase etchant.
99,for etching of a silicon containing substrate by a nonliquid phase etchant.

SEE OR SEARCH CLASS:

65Glass Manufacturing,   subclass 31 for etching or leaching of glass preform in combination with a glass manufacturing step.
  
[List of Patents for class 216 subclass 32]    32Treating elemental metal or alloy thereof:
 This subclass is indented under subclass 28.  Process wherein metal or an thereof is treated to produce a decorative effect thereon.
  
[List of Patents for class 216 subclass 33]    33ADHESIVE OR AUTOGENOUS BONDING OF TWO OR MORE SELF-SUSTAINING PREFORMS WHEREIN AT LEAST TWO OF THE PREFORMS ARE NOT INTENDED TO BE REMOVED (E.G., PREFABRICATED BASE, ETC.):
 This subclass is indented under the class definition.  Process s including the step of adhesively or autogenously bonding two or more self sustaining preforms.
(1) Note. A preformed etching mask or resist is not considered as being a preform proper for this subclass if it is ultimately to be removed. See subclasses 41+ for etching processes involving a preformed etch mask or resist.
(2) Note. Processes appropriate for this subclass require the forming of intended composite materials having two or more layers and wherein the final intended material is a composite having two or more layers. A multilayered substrate as a preform without the claimed forming of the multilayers by adhesive or autogenous bonding is not appropriate herein unless a self-sustaining preform is bonded thereto and remains with the multilayered substrate. Removing the self-sustaining preform (etch mask or resist) after the etching process results in a product which does not meet the required parameters for this subclass.

SEE OR SEARCH CLASS:

29Metal Working,   for mechanical assembly combined with etching of special articles.
156Adhesive Bonding and Miscellaneous Chemical Manufacture,   for adhesive bonding or laminating without etching.
  
[List of Patents for class 216 subclass 34]    34Etching improves or promotes adherence of preforms being bonded:
 This subclass is indented under subclass 33.  Process wherein etching is used to improve or promote adherence or bonding between two or more preforms.
(1) Note. Included herein is the use of etching to improve or promote the adherence of an adhesive and a preform.
  
[List of Patents for class 216 subclass 35]    35Bonding of preform of metal or an alloy thereof to a preform of a nonmetal:
 This subclass is indented under subclass 34.  Process of improving or promoting the adherence or bonding of a preform of metal or an alloy thereof to a nonmetal preform.
  
[List of Patents for class 216 subclass 36]    36Removing at least one of the self-sustaining preforms or a portion thereof:
 This subclass is indented under subclass 33.  Process wherein a delamination occurs between any two or more laminated preforms.
(1) Note. The preform or portion delaminated may be the same or different from the preform bonded initially. An example of a different preform is one which has been chemically treated after it has been united and then removed.
  
[List of Patents for class 216 subclass 37]    37ETCHING AND COATING OCCUR IN THE SAME PROCESSING CHAMBER:
 This subclass is indented under the class definition.  Process wherein etching and coating occur in the same vessel.

SEE OR SEARCH CLASS:

427Coating Processes,   for etching used to perfect a coating operation.
  
[List of Patents for class 216 subclass 38]    38PLANARIZING A NONPLANAR SURFACE:
 This subclass is indented under the class definition.  Process directed to the smoothing or leveling of a surface.
(1) Note. The planarizing may include the use of a planarizing coating layer.
  
[List of Patents for class 216 subclass 39]    39FORMING GROOVE OR HOLE IN A SUBSTRATE WHICH IS SUBSEQUENTLY FILLED OR COATED:
 This subclass is indented under the class definition.  Process directed to the filling or coating of a groove or hole in a substrate after the groove or hole is formed.
  
[List of Patents for class 216 subclass 40]    40FORMING PATTERN USING LIFT OFF TECHNIQUE:
 This subclass is indented under the class definition.  Process directed to placing a mask on a substrate, coating, or depositing material over the mask and substrate, then removing the mask to remove the deposited layer on the mask, thus leaving the deposited layer in a pattern where the mask was not present, and etching using the pattern as a mask.
(1) Note. Any means of removal may be used to include dissolution.
  
[List of Patents for class 216 subclass 41]    41MASKING OF A SUBSTRATE USING MATERIAL RESISTANT TO AN ETCHANT (I.E., ETCH RESIST):
 This subclass is indented under the class definition.  Process directed to using a patterned material which is resistant to the etchant.
(1) Note. This subclass rather than subclass 49 and subclass 51 specifically provides for etch resistant material where the disclosure of the patent is silent as to whether the material is organic or inorganic in nature.

SEE OR SEARCH THIS CLASS, SUBCLASS:

56,for making a porous or perforated article having a nonmasking function.

SEE OR SEARCH CLASS:

430Radiation Imagery Chemistry: Process, Composition, or Product Thereof,   subclass 5 for a radiation mask.
  
[List of Patents for class 216 subclass 42]    42Resist material applied in particulate form or spray:
 This subclass is indented under subclass 41.  Process wherein the masking is applied by a coating process involving the application of particulate material or by a spray coating procedure.
  
[List of Patents for class 216 subclass 43]    43Adhesively bonding resist to substrate:
 This subclass is indented under subclass 41.  Process wherein the masking is applied by adhesively bonding a self-sustaining patterned resist to a substrate.

SEE OR SEARCH CLASS:

156Adhesive Bonding and Miscellaneous Chemical Manufacture,   for production of bonded materials.
  
[List of Patents for class 216 subclass 44]    44Mechanically forming pattern into a resist:
 This subclass is indented under subclass 41.  Process directed to the forming of a pattern into the etch resist by mechanical means, e.g., cutting, punching, scribing using a stylus, etc.

SEE OR SEARCH THIS CLASS, SUBCLASS:

52+,for a separate step of mechanically shaping, deforming, or abrading of a substrate.
  
[List of Patents for class 216 subclass 45]    45Mask is reusable (i.e., stencil):
 This subclass is indented under subclass 41.  Process using a prefabricated stencil or mask which may be reused in the subsequent etching of another substrate.
  
[List of Patents for class 216 subclass 46]    46Masking of sidewall:
 This subclass is indented under subclass 41.  Process of using masking on a side wall of a substrate to prevent or direct etching.
  
[List of Patents for class 216 subclass 47]    47Mask is multilayer resist:
 This subclass is indented under subclass 41.  Process of using an etch resist comprising plural layers.
  
[List of Patents for class 216 subclass 48]    48Mask is exposed to nonimaging radiation:
 This subclass is indented under subclass 41.  Process of exposing the etch resist to nonimaging radiation, e.g., U.V. light, laser beam, etc.

SEE OR SEARCH THIS CLASS, SUBCLASS:

62,for altering of the etchability of a substrate by irradiation, ion implantation, alloying, diffusing, or chemically reacting the substrate during gas phase etching.
63+,for gas phase etching with application of energy to the substrate being etched, e.g., ultrasonic, substrate heating, radiation, plasma, ion beam, etc.
87,for altering of the etchability of a substrate during liquid phase etching by treatment with high energy radiation, alloying, diffusion, or chemically reacting.
94,for liquid phase etching with high energy irradiation, e.g., laser, e-beam, or ion beam, etc.

SEE OR SEARCH CLASS:

430Radiation Imagery Chemistry: Process, Composition, or Product Thereof,   for the production of an image formed by use of radiation or light combined with etching.
  
[List of Patents for class 216 subclass 49]    49Mask resist contains organic compound:
 This subclass is indented under subclass 41.  Process wherein the resist material contains an organic compound.
(1) Note. See Glossary for a definition of the term Organic.
(2) Note. See subclass 41 for masking material where the patent disclosure is silent as to its chemical nature.
  
[List of Patents for class 216 subclass 50]    50Mask resist contains a color imparting agent:
 This subclass is indented under subclass 49.  Process wherein the organic resist further contains a material expressly added for imparting color to the resist.
  
[List of Patents for class 216 subclass 51]    51Mask resist contains inorganic material:
 This subclass is indented under subclass 41.  Process wherein the resist material is inorganic and includes material in an elemental state.
(1) Note. See Glossary for a definition of the term Inorganic.

SEE OR SEARCH THIS CLASS, SUBCLASS:

41,for masking material where the patent disclosure is silent as to its chemical nature.
  
[List of Patents for class 216 subclass 52]    52MECHANICALLY SHAPING, DEFORMING, OR ABRADING OF SUBSTRATE:
 This subclass is indented under the class definition.  Process including a step of subjecting a substrate to physical force of sufficient magnitude to cause permanent distortion thereof or removal of material therefrom.
(1) Note. Included herein, e.g., are embossing, bending, abrading, cutting, breaking, etc.
(2) Note. For the line between this class and the metal working classes wherein a step of metal working is included, see Lines With Other Classes And Within This Class in this class (216).
  
[List of Patents for class 216 subclass 53]    53Nongaseous phase etching:
 This subclass is indented under subclass 52.  Process wherein the etchant is employed in a nongaseous phase.
(1) Note. Most of the patents herein are to the use of a liquid etchant. See the Glossary for a definition of the term Liquid.
  
[List of Patents for class 216 subclass 54]    54PATTERN OR DESIGN APPLIED BY TRANSFER:
 This subclass is indented under the class definition.  Process wherein a separately existing pattern or design is temporarily adhered to a carrier and this pattern or design is transferred to a substrate.
  
[List of Patents for class 216 subclass 55]    55HEATING OR BAKING OF SUBSTRATE PRIOR TO ETCHING TO CHANGE THE CHEMICAL PROPERTIES OF SUBSTRATE TOWARD THE ETCHANT:
 This subclass is indented under the class definition.  Process wherein the chemical properties of a substrate toward an etchant are altered by contacting the substrate prior to etching with a source of heat, e.g., alloying, curing, annealing, etc.

SEE OR SEARCH CLASS:

65Glass Manufacturing,   subclasses 117+ for annealing of glass preform.
  
[List of Patents for class 216 subclass 56]    56ETCHING TO PRODUCE POROUS OR PERFORATED ARTICLE:
 This subclass is indented under the class definition.  Process wherein the action of the etchant produces relatively small holes or channels throughout a substrate.

SEE OR SEARCH THIS CLASS, SUBCLASS:

12,for forming a mask used for a nonetching function.

SEE OR SEARCH CLASS:

106Compositions: Coating or Plastic,   subclass 122 for pore forming.
521Synthetic Resins or Natural Rubbers,   subclasses 50+ for cellular products or processes of preparing a cellular product.
  
[List of Patents for class 216 subclass 57]    57GAS PHASE AND NONGASEOUS PHASE ETCHING ON THE SAME SUBSTRATE:
 This subclass is indented under the class definition.  Process involving etching a substrate with a plurality of etchants and wherein at least one of the etchants is in a nongaseous phase and at least one of the etchants is in the gas phase.
(1) Note. See the Glossary for a definition of the term Gas.
(2) Note. Most of the patents relating to nongaseous etching involve a liquid etchant. See the Glossary for a definition of the term liquid.

SEE OR SEARCH THIS CLASS, SUBCLASS:

58,for gas phase etching.
83,for nongaseous phase etching
  
[List of Patents for class 216 subclass 58]    58GAS PHASE ETCHING OF SUBSTRATE:
 This subclass is indented under the class definition.  Process wherein the etchant is in a gaseous state when it contacts the substrate.
(1) Note. See Glossary for a definition of the term Gas.
(2) Note. This and indented subclasses include etching employing ionized gas with approximately equal numbers of positive ions and electrons so the mixture is highly conductive and affected by magnetic fields, i.e., plasma.
  
[List of Patents for class 216 subclass 59]    59With measuring, testing, or inspecting:
 This subclass is indented under subclass 58.  Process including the step of visually, chemically, or physically determining or measuring a variable condition or property of the substrate or the etching process.
(1) Note. Included here under is a process of monitoring or controlling the etching process in response to a sensed condition.

SEE OR SEARCH THIS CLASS, SUBCLASS:

84+,for measuring, testing, inspecting, monitoring or controlling of a nongaseous phase etching process.

SEE OR SEARCH CLASS:

445Electric Lamp or Space Discharge Component or Device Manufacturing,   subclass 3 for testing or adjusting.
  
[List of Patents for class 216 subclass 60]    60By optical means or of an optical property:
 This subclass is indented under subclass 59.  Process where the test is performed optically or determines an optical property, e.g., reflectance, etc.
  
[List of Patents for class 216 subclass 61]    61By electrical means or of an electrical property:
 This subclass is indented under subclass 59.  Process where the test is performed electrically or determines an electrical property, e.g. resistance, etc.
  
[List of Patents for class 216 subclass 62]    62Irradiating, ion implanting, alloying, diffusing, or chemically reacting the substrate prior to etching to change properties of substrate toward the etchant:
 This subclass is indented under subclass 58.  Process wherein a substrate is altered by contacting prior to etching with a material or irradiation which (a) forms an alloy, (b) diffuses into, or (c) chemically reacts with the substrate, or causes a chemical reaction within the substrate to alter the effect of the etchant on the substrate or any part thereof.

SEE OR SEARCH THIS CLASS, SUBCLASS:

87,for altering of the etchability of a substrate before nongaseous phase etching by treatment with high energy radiation, alloying, diffusing, or chemically reacting.

SEE OR SEARCH CLASS:

438Semiconductor Device Manufacturing: Process,   subclass 705 for processes of altering the etchability of a semiconductor substrate prior to chemical etching for manufacturing a semiconductive electrical substrate or device; see the search notes thereunder.
  
[List of Patents for class 216 subclass 63]    63Application of energy to the gaseous etchant or to the substrate being etched:
 This subclass is indented under subclass 58.  Process directed to the application of energy to the gaseous etchant or to the substrate being etched, e.g., Ultrasonic substrate heating, radiation, plasma, ion beam, etc.

SEE OR SEARCH THIS CLASS, SUBCLASS:

73,for preparing etching vapor by evaporation, boiling, or sublimation.
87,altering of the etchability of a substrate prior to nongaseous phase etching by the use irradiation, ion implantation, alloying, diffusing, or chemically reacting.
94,for nongaseous phase etching using high energy irradiation, e.g., laser, e-beam, or ion beam, etc.
  
[List of Patents for class 216 subclass 64]    64Etchant is devoid of chlorocarbon or fluorocarbon compound (e.g., C.F.C., etc.):
 This subclass is indented under subclass 58.  Process wherein the etchant is devoid of a compound containing at least one chlorine and/or fluorine atom and at least one carbon atom.
(1) Note. This subclass requires that all of of the etching materials recited be devoid of the a chlorocarbon or flurocarbon carbon (etching mixtures). Also excluded are multistep processes wherein one of etching steps uses a chlorocarbon or fluorocarbon compound. See appropriate subclasses below for etching processes using etchants excluded from this subclass.
  
[List of Patents for class 216 subclass 65]    65Using laser:
 This subclass is indented under subclass 63.  Process wherein the energy source is a laser.
(1) Note. Either visible or invisible laser may be used in this etching process.

SEE OR SEARCH CLASS:

522Synthetic Resins or Natural Rubbers,   subclass 2 for forming or modifying a solid polymer by laser, or compositions therefor.
  
[List of Patents for class 216 subclass 66]    66Using ion beam, ultraviolet, or visible light:
 This subclass is indented under subclass 63.  Process wherein the energy source is an ion beam, ultraviolet, or visible light.
  
[List of Patents for class 216 subclass 67]    67Using plasma:
 This subclass is indented under subclass 63.  Process wherein the energy source is a plasma.
(1) Note. See Glossary for a definition of the term Plasma.
  
[List of Patents for class 216 subclass 68]    68Using coil to generate the plasma:
 This subclass is indented under subclass 67.  Process wherein a plasma is produced by the use of a coil, e.g., external induction coil, etc.
  
[List of Patents for class 216 subclass 69]    69Using microwave to generate the plasma:
 This subclass is indented under subclass 67.  Process wherein the plasma is produced by the use of microwaves.
  
[List of Patents for class 216 subclass 70]    70Magnetically enhancing the plasma:
 This subclass is indented under subclass 69.  Process where the plasma is intensified by the use of a magnetic field.
(1) Note. The magnetic field establishes a resonant motion of the electrons in the chamber.
  
[List of Patents for class 216 subclass 71]    71Specific configuration of electrodes to generate the plasma:
 This subclass is indented under subclass 67.  Process wherein the plasma is produced using electrodes which are in a specific enumerated configuration in relation to each other.
  
[List of Patents for class 216 subclass 72]    72Etching a multiple layered substrate where the etching condition used produces a different etching rate or characteristic between at least two of the layers of the substrate:
 This subclass is indented under subclass 58.  Process involving the etching of a multilayered substrate, using a single etching step, where the process parameters used causes a difference of the etching rate or characteristic in at least two different layers of the substrate.
  
[List of Patents for class 216 subclass 73]    73Etching vapor produced by evaporation, boiling, or sublimation:
 This subclass is indented under subclass 58.  Process wherein the etching process includes the formation of the etchant vapor by the evaporating or boiling of a liquid, or is directly produced from a solid without the formation of a liquid phase.
  
[List of Patents for class 216 subclass 74]    74Etching inorganic substrate:
 This subclass is indented under subclass 58.  Process wherein the etching step is performed on an inorganic substrate and may include elemental material.
(1) Note. See Glossary for what is meant by the term Inorganic.
  
[List of Patents for class 216 subclass 75]    75Substrate contains elemental metal, alloy thereof, or metal compound:
 This subclass is indented under subclass 74.  Process wherein the substrate contains metal in elemental, alloy, or compound form.

SEE OR SEARCH THIS CLASS, SUBCLASS:

100+,for nongaseous phase etching of an elemental metal, alloy, or metal compound containing substrate.
  
[List of Patents for class 216 subclass 76]    76Etching of substrate containing at least one compound having at least one oxygen atom and at least one metal atom:
 This subclass is indented under subclass 75.  Process wherein the material etched contains a compound having at least one metal atom and at least one oxygen atom, e.g., indium titanium oxide (I.T.O.), a ceramic oxide, etc.
(1) Note. The metal oxygen compound may also contain other elements.
  
[List of Patents for class 216 subclass 77]    77Etching of substrate containing elemental aluminum, or an alloy or compound thereof:
 This subclass is indented under subclass 75.  Process wherein the material to be etched contains elemental aluminum or an alloy thereof, or a compound containing aluminum.
  
[List of Patents for class 216 subclass 78]    78Etching of substrate containing elemental copper, or an alloy or compound thereof:
 This subclass is indented under subclass 75.  Process wherein the material to be etched contains elemental copper or an alloy thereof, or a compound containing copper.
  
[List of Patents for class 216 subclass 79]    79Etching silicon containing substrate:
 This subclass is indented under subclass 74.  Process wherein the material to be etched contains silicon in either elemental or combined form.

SEE OR SEARCH THIS CLASS, SUBCLASS:

97+,for nongaseous phase etching of glass.
99,for nongaseous phase etching of a silicon containing substrate.

SEE OR SEARCH CLASS:

438Semiconductor Device Manufacturing: Process,   for (a) combined processes and (b) unit operation not elsewhere provided for manufacturing a semiconductive electrical substrate or device.
  
[List of Patents for class 216 subclass 80]    80Silicon containing substrate is glass:
 This subclass is indented under subclass 79.  Process wherein the material is composed of glass.
(1) Note. See Glossary for a definition of the term Glass.

SEE OR SEARCH CLASS:

65Glass Manufacturing,   subclass 31 for etching or leaching of a glass preform, made by a Class 65 glass manufacturing method, e.g., molding, shaping, etc. of the glass in a plastic or softened state by heating, subclass 61 for wearing away of surface material, e.g., abrading or grinding, etc.
  
[List of Patents for class 216 subclass 81]    81Etching elemental carbon containing substrate:
 This subclass is indented under subclass 74.  Process wherein the material to be etched contains elemental carbon in any of its allotropic forms, e.g., diamond, etc.
  
[List of Patents for class 216 subclass 83]    83NONGASEOUS PHASE ETCHING OF SUBSTRATE:
 This subclass is indented under the class definition.  Process the ... wherein the etchant used is employed in a nongaseous phase:
(1) Note. Most of the patents found in this subclass involve liquid phase etching processes. See Glossary for a definition of the term Liquid.
  
[List of Patents for class 216 subclass 84]    84With measuring, testing, or inspecting:
 This subclass is indented under subclass 83.  Process including the step of visually, chemically, or physically determining or measuring a variable condition or property of the substrate or the etching process.
(1) Note. Included hereunder is a process of monitoring or controlling the etching process in response to a sensed condition.

SEE OR SEARCH THIS CLASS, SUBCLASS:

59,for measuring testing, inspecting, monitoring, or controlling of gas phase etching.
93,for recycling, regenerating, or rejuvenating an etchant including a testing, measuring, inspecting, monitoring, or controlling step.

SEE OR SEARCH CLASS:

445Electric Lamp or Space Discharge Component or Device Manufacturing,   subclass 3 for testing or adjusting.
  
[List of Patents for class 216 subclass 85]    85By optical means or of an optical property:
 This subclass is indented under subclass 84.  Process where the test is performed optically or determines an optical property, e.g., reflectance, etc.
  
[List of Patents for class 216 subclass 86]    86By electrical means or of an electrical property:
 This subclass is indented under subclass 84.  Process where the test is performed electrically or determines an electrical property, e.g., resistance, etc.
  
[List of Patents for class 216 subclass 87]    87Irradiating, ion implanting, alloying, diffusing, or chemically reacting the substrate prior to etching to change properties of substrate toward the etchant:
 This subclass is indented under subclass 83.  Process wherein a substrate is altered by contacting prior to etching with a material or irradiation which (a) forms an alloy, (b) diffuses into, or (c) chemically reacts with the substrate, or causes a chemical reaction within the substrate to alter the effect of the etchant on the substrate or any part thereof.

SEE OR SEARCH THIS CLASS, SUBCLASS:

94,nongaseous phase etching using high energy irradiation, e.g., laser, electron-beam, ion beam, etc., where the substrate is not modified by irradiation prior to etching.

SEE OR SEARCH CLASS:

438Semiconductor Device Manufacturing: Process,   subclass 705 for processes of altering the etchability of a semiconductor substrate prior the chemical etching for manufacturing a semiconductive electrical substrate or device; see the search notes thereunder.
  
[List of Patents for class 216 subclass 88]    88Using film of etchant between a stationary surface and a moving surface (e.g., chemical lapping, etc.):
 This subclass is indented under subclass 83.  Process in which the etching occurs at the interface at a film between the substrate and a conforming surface with relative motion between the substrate and the conforming surface.

SEE OR SEARCH CLASS:

438Semiconductor Device Manufacturing: Process,   subclasses 691+ for chemical-mechanical polishing processes for manufacturing a semiconductive electrical substrate or device.
  
[List of Patents for class 216 subclass 89]    89Etchant contains solid particle (e.g., abrasive for polishing, etc.):
 This subclass is indented under subclass 88.  Process wherein the etchant also contains solid particles.
  
[List of Patents for class 216 subclass 90]    90Relative movement between the substrate and a confined pool of etchant:
 This subclass is indented under subclass 83.  Process including the step of causing a relative motion between a substrate being etched and an etchant which is confined in a container, (e.g., dipping, ultrasonic vibrating., etc.):
(1) Note. The substrate being etched may also serve as the container.
(2) Note. Boiling a liquid etchant is not considered sufficient for this subclass without disclosure that it is boiled to establish relative motion.
  
[List of Patents for class 216 subclass 91]    91Rotating, repeated dipping, or advancing movement of substrate:
 This subclass is indented under subclass 90.  Process wherein the substrate is (a) rotated with respect to the pool of etchant, (b) repeatedly dipped into and removed from the same pool of etchant, or (c) moved through a pool of etchant.
  
[List of Patents for class 216 subclass 92]    92Projecting etchant against a moving substrate or controlling the angle or pattern projection of the etchant or controlling the angle or pattern of movement of the substrate:
 This subclass is indented under subclass 83.  Process wherein an etchant is transported through space by mechanical force and (a) brought into contact with a substrate while the substrate is in motion, (b) the etchant or substrate is transported at a predetermined angle, or (c) the etchant or substrate is transported in a particular pattern.
  
[List of Patents for class 216 subclass 93]    93Recycling, regenerating, or rejuvenating etchant:
 This subclass is indented under subclass 83.  Process which includes the steps of reconstituing or reusing an etchant.
(1) Note. Removal of etchant from an etching bath and its return to an etching bath is considered recycling for this subclass.
  
[List of Patents for class 216 subclass 94]    94Etching using radiation (e.g., laser, electron-beam, ion-beam, etc.):
 This subclass is indented under subclass 83.  Process wherein radiation is used separately or simultaneously during etching.
  
[List of Patents for class 216 subclass 95]    95Substrate is multilayered:
 This subclass is indented under subclass 83.  Process wherein the substrate is plural layered.
(1) Note. The presence of a resist layer whose sole function is to protect some part of the substrate from etchant will not be considered sufficient to make the substrate plural-layered if it is to be removed.
  
[List of Patents for class 216 subclass 96]    96Etching inorganic substrate:
 This subclass is indented under subclass 83.  Process wherein at least one of layers of the substrate contains inorganic material.
(1) Note. See Glossary for a definition of the term Inorganic.
  
[List of Patents for class 216 subclass 97]    97Substrate is glass:
 This subclass is indented under subclass 96.  Process wherein at least one of the layers of the substrate contains glass material.
(1) Note. See Glossary for a definition of the term Glass.

SEE OR SEARCH CLASS:

65Glass Manufacturing,   subclass 31 for etching or leaching of glass preform, during manufacturing of a glass preform as per Class 65 definition.
  
[List of Patents for class 216 subclass 98]    98Frosting glass:
 This subclass is indented under subclass 97.  Process of producing a frost like opaque surface on the glass by etching.
  
[List of Patents for class 216 subclass 99]    99Substrate contains silicon or silicon compound:
 This subclass is indented under subclass 96.  Process wherein the substrate contains silicon in either elemental form or combined form.

SEE OR SEARCH CLASS:

438Semiconductor Device Manufacturing: Process,   for (a) combined processes and (b) unit operation not elsewhere provided for manufacturing a semiconductive electrical substrate or device.
  
[List of Patents for class 216 subclass 100]    100Substrate contains elemental metal, alloy thereof, or metal compound:
 This subclass is indented under subclass 96.  Process wherein the substrate contains metal in elemental, alloy, or compound form.
  
[List of Patents for class 216 subclass 101]    101Etching of a compound containing at least one oxygen atom and at least one metal atom:
 This subclass is indented under subclass 100.  Process wherein the substrate etched contains a compound having at least one metal atom and at least one oxygen atom, e.g., indium titanium oxide (I.T.O.), a ceramic oxide, etc.
(1) Note. The substrate may also contain other elements.
  
[List of Patents for class 216 subclass 102]    102Metal is elemental aluminum, an alloy, or compound thereof:
 This subclass is indented under subclass 100.  Process wherein the substrate etched contains elemental aluminum, its alloys, or a compound thereof.
  
[List of Patents for class 216 subclass 103]    103Etchant contains acid:
 This subclass is indented under subclass 102.  Process wherein the substrate is etched with H+ ion producing or containing material.
(1) Note. See Glossary for a definition of the term Acid.
  
[List of Patents for class 216 subclass 104]    104Etchant contains fluoride ion:
 This subclass is indented under subclass 103.  Process wherein the etchant contains fluoride ion.
  
[List of Patents for class 216 subclass 105]    105Metal is elemental copper, an alloy, or compound thereof:
 This subclass is indented under subclass 100.  Process wherein the substrate etched contains elemental copper, its alloys, or a compound thereof.
  
[List of Patents for class 216 subclass 106]    106Etchant contains acid:
 This subclass is indented under subclass 105.  Process wherein the substrate is etched with H+ ion producing or containing material.
(1) Note. See Glossary for a definition of the term Acid.
  
[List of Patents for class 216 subclass 107]    107Etchant contains fluoride ion:
 This subclass is indented under subclass 106.  Process wherein the etchant contains fluoride ion.
  
[List of Patents for class 216 subclass 108]    108Etchant contains acid:
 This subclass is indented under subclass 100.  Process wherein the substrate is etched with H+ ion producing or containing material.
(1) Note. See Glossary for a definition of the term Acid.
  
[List of Patents for class 216 subclass 109]    109Etchant contains fluoride ion:
 This subclass is indented under subclass 108.  Process wherein the etchant contains fluoride ion.