Skip header and go to main content

Classification Resources
 
Class   438SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS
Click here for a printable version of this file
  Turn Outline  
     Expand/Contract Processing Please Wait
 [List of Pre Grant Publications for class 438 subclass 1][List of Patents for class 438 subclass 1]1 HAVING BIOMATERIAL COMPONENT OR INTEGRATED WITH LIVING ORGANISM
 [List of Pre Grant Publications for class 438 subclass 2][List of Patents for class 438 subclass 2]2 HAVING SUPERCONDUCTIVE COMPONENT
 [List of Pre Grant Publications for class 438 subclass 3][List of Patents for class 438 subclass 3]3 HAVING MAGNETIC OR FERROELECTRIC COMPONENT
 [List of Pre Grant Publications for class 438 subclass 4][List of Patents for class 438 subclass 4]4 REPAIR OR RESTORATION
[List of Pre Grant Publications for class 438 subclass 5][List of Patents for class 438 subclass 5]5 INCLUDING CONTROL RESPONSIVE TO SENSED CONDITION
[List of Pre Grant Publications for class 438 subclass 14][List of Patents for class 438 subclass 14]14 WITH MEASURING OR TESTING
 [List of Pre Grant Publications for class 438 subclass 19][List of Patents for class 438 subclass 19]19 HAVING INTEGRAL POWER SOURCE (E.G., BATTERY, ETC.)
 [List of Pre Grant Publications for class 438 subclass 20][List of Patents for class 438 subclass 20]20 ELECTRON EMITTER MANUFACTURE
 [List of Pre Grant Publications for class 438 subclass 21][List of Patents for class 438 subclass 21]21 MANUFACTURE OF ELECTRICAL DEVICE CONTROLLED PRINTHEAD
[List of Pre Grant Publications for class 438 subclass 22][List of Patents for class 438 subclass 22]22 MAKING DEVICE OR CIRCUIT EMISSIVE OF NONELECTRICAL SIGNAL
[List of Pre Grant Publications for class 438 subclass 48][List of Patents for class 438 subclass 48]48 MAKING DEVICE OR CIRCUIT RESPONSIVE TO NONELECTRICAL SIGNAL
 [List of Pre Grant Publications for class 438 subclass 49][List of Patents for class 438 subclass 49]49 Subclass 49 indent level is 1 Chemically responsive
[List of Pre Grant Publications for class 438 subclass 50][List of Patents for class 438 subclass 50]50 Subclass 50 indent level is 1 Physical stress responsive
[List of Pre Grant Publications for class 438 subclass 54][List of Patents for class 438 subclass 54]54 Subclass 54 indent level is 1 Thermally responsive
 [List of Pre Grant Publications for class 438 subclass 56][List of Patents for class 438 subclass 56]56 Subclass 56 indent level is 1 Responsive to corpuscular radiation (e.g., nuclear particle detector, etc.)
[List of Pre Grant Publications for class 438 subclass 57][List of Patents for class 438 subclass 57]57 Subclass 57 indent level is 1 Responsive to electromagnetic radiation
 [List of Pre Grant Publications for class 438 subclass 58][List of Patents for class 438 subclass 58]58 Subclass 58 indent level is 2 Gettering of substrate
[List of Pre Grant Publications for class 438 subclass 59][List of Patents for class 438 subclass 59]59 Subclass 59 indent level is 2 Having diverse electrical device
[List of Pre Grant Publications for class 438 subclass 61][List of Patents for class 438 subclass 61]61 Subclass 61 indent level is 2 Continuous processing
 [List of Pre Grant Publications for class 438 subclass 63][List of Patents for class 438 subclass 63]63 Subclass 63 indent level is 2 Particulate semiconductor component
[List of Pre Grant Publications for class 438 subclass 64][List of Patents for class 438 subclass 64]64 Subclass 64 indent level is 2 Packaging (e.g., with mounting, encapsulating, etc.) or treatment of packaged semiconductor
 [List of Pre Grant Publications for class 438 subclass 68][List of Patents for class 438 subclass 68]68 Subclass 68 indent level is 2 Substrate dicing
[List of Pre Grant Publications for class 438 subclass 69][List of Patents for class 438 subclass 69]69 Subclass 69 indent level is 2 Including integrally formed optical element (e.g., reflective layer, luminescent layer, etc.)
[List of Pre Grant Publications for class 438 subclass 73][List of Patents for class 438 subclass 73]73 Subclass 73 indent level is 2 Making electromagnetic responsive array
 [List of Pre Grant Publications for class 438 subclass 82][List of Patents for class 438 subclass 82]82 Subclass 82 indent level is 2 Having organic semiconductor component
 [List of Pre Grant Publications for class 438 subclass 83][List of Patents for class 438 subclass 83]83 Subclass 83 indent level is 2 Forming point contact
 [List of Pre Grant Publications for class 438 subclass 84][List of Patents for class 438 subclass 84]84 Subclass 84 indent level is 2 Having selenium or tellurium elemental semiconductor component
[List of Pre Grant Publications for class 438 subclass 85][List of Patents for class 438 subclass 85]85 Subclass 85 indent level is 2 Having metal oxide or copper sulfide compound semiconductive component
 [List of Pre Grant Publications for class 438 subclass 87][List of Patents for class 438 subclass 87]87 Subclass 87 indent level is 2 Graded composition
 [List of Pre Grant Publications for class 438 subclass 88][List of Patents for class 438 subclass 88]88 Subclass 88 indent level is 2 Direct application of electric current
 [List of Pre Grant Publications for class 438 subclass 89][List of Patents for class 438 subclass 89]89 Subclass 89 indent level is 2 Fusion or solidification of semiconductor region
 [List of Pre Grant Publications for class 438 subclass 90][List of Patents for class 438 subclass 90]90 Subclass 90 indent level is 2 Including storage of electrical charge in substrate
 [List of Pre Grant Publications for class 438 subclass 91][List of Patents for class 438 subclass 91]91 Subclass 91 indent level is 2 Avalanche diode
 [List of Pre Grant Publications for class 438 subclass 92][List of Patents for class 438 subclass 92]92 Subclass 92 indent level is 2 Schottky barrier junction
[List of Pre Grant Publications for class 438 subclass 93][List of Patents for class 438 subclass 93]93 Subclass 93 indent level is 2 Compound semiconductor
 [List of Pre Grant Publications for class 438 subclass 96][List of Patents for class 438 subclass 96]96 Subclass 96 indent level is 2 Amorphous semiconductor
 [List of Pre Grant Publications for class 438 subclass 97][List of Patents for class 438 subclass 97]97 Subclass 97 indent level is 2 Polycrystalline semiconductor
 [List of Pre Grant Publications for class 438 subclass 98][List of Patents for class 438 subclass 98]98 Subclass 98 indent level is 2 Contact formation (i.e., metallization)
 [List of Pre Grant Publications for class 438 subclass 99][List of Patents for class 438 subclass 99]99 HAVING ORGANIC SEMICONDUCTIVE COMPONENT
[List of Pre Grant Publications for class 438 subclass 100][List of Patents for class 438 subclass 100]100 MAKING POINT CONTACT DEVICE
[List of Pre Grant Publications for class 438 subclass 102][List of Patents for class 438 subclass 102]102 HAVING SELENIUM OR TELLURIUM ELEMENTAL SEMICONDUCTOR COMPONENT
 [List of Pre Grant Publications for class 438 subclass 104][List of Patents for class 438 subclass 104]104 HAVING METAL OXIDE OR COPPER SULFIDE COMPOUND SEMICONDUCTOR COMPONENT
 [List of Pre Grant Publications for class 438 subclass 105][List of Patents for class 438 subclass 105]105 HAVING DIAMOND SEMICONDUCTOR COMPONENT
[List of Pre Grant Publications for class 438 subclass 106][List of Patents for class 438 subclass 106]106 PACKAGING (E.G., WITH MOUNTING, ENCAPSULATING, ETC.) OR TREATMENT OF PACKAGED SEMICONDUCTOR
[List of Pre Grant Publications for class 438 subclass 128][List of Patents for class 438 subclass 128]128 MAKING DEVICE ARRAY AND SELECTIVELY INTERCONNECTING
[List of Pre Grant Publications for class 438 subclass 133][List of Patents for class 438 subclass 133]133 MAKING REGENERATIVE-TYPE SWITCHING DEVICE (E.G., SCR, IGBT, THYRISTOR, ETC.)
 [List of Pre Grant Publications for class 438 subclass 141][List of Patents for class 438 subclass 141]141 MAKING CONDUCTIVITY MODULATION DEVICE (E.G., UNIJUNCTION TRANSISTOR, DOUBLE BASE DIODE, CONDUCTIVITY-MODULATED TRANSISTOR, ETC.)
[List of Pre Grant Publications for class 438 subclass 142][List of Patents for class 438 subclass 142]142 MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS
 [List of Pre Grant Publications for class 438 subclass 143][List of Patents for class 438 subclass 143]143 Subclass 143 indent level is 1 Gettering of semiconductor substrate
[List of Pre Grant Publications for class 438 subclass 144][List of Patents for class 438 subclass 144]144 Subclass 144 indent level is 1 Charge transfer device (e.g., CCD, etc.)
[List of Pre Grant Publications for class 438 subclass 149][List of Patents for class 438 subclass 149]149 Subclass 149 indent level is 1 On insulating substrate or layer (e.g., TFT, etc.)
[List of Pre Grant Publications for class 438 subclass 167][List of Patents for class 438 subclass 167]167 Subclass 167 indent level is 1 Having Schottky gate (e.g., MESFET, HEMT, etc.)
[List of Pre Grant Publications for class 438 subclass 186][List of Patents for class 438 subclass 186]186 Subclass 186 indent level is 1 Having junction gate (e.g., JFET, SIT, etc.)
[List of Pre Grant Publications for class 438 subclass 197][List of Patents for class 438 subclass 197]197 Subclass 197 indent level is 1 Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.)
 [List of Pre Grant Publications for class 438 subclass 198][List of Patents for class 438 subclass 198]198 Subclass 198 indent level is 2 Specified crystallographic orientation
[List of Pre Grant Publications for class 438 subclass 199][List of Patents for class 438 subclass 199]199 Subclass 199 indent level is 2 Complementary insulated gate field effect transistors (i.e., CMOS)
[List of Pre Grant Publications for class 438 subclass 200][List of Patents for class 438 subclass 200]200 Subclass 200 indent level is 3 And additional electrical device
 [List of Pre Grant Publications for class 438 subclass 211][List of Patents for class 438 subclass 211]211 Subclass 211 indent level is 3 Having gate surrounded by dielectric (i.e., floating gate)
 [List of Pre Grant Publications for class 438 subclass 212][List of Patents for class 438 subclass 212]212 Subclass 212 indent level is 3 Vertical channel
 [List of Pre Grant Publications for class 438 subclass 213][List of Patents for class 438 subclass 213]213 Subclass 213 indent level is 3 Common active region
 [List of Pre Grant Publications for class 438 subclass 214][List of Patents for class 438 subclass 214]214 Subclass 214 indent level is 3 Having underpass or crossunder
 [List of Pre Grant Publications for class 438 subclass 215][List of Patents for class 438 subclass 215]215 Subclass 215 indent level is 3 Having fuse or integral short
 [List of Pre Grant Publications for class 438 subclass 216][List of Patents for class 438 subclass 216]216 Subclass 216 indent level is 3 Gate insulator structure constructed of diverse dielectrics (e.g., MNOS, etc.) or of nonsilicon compound
 [List of Pre Grant Publications for class 438 subclass 217][List of Patents for class 438 subclass 217]217 Subclass 217 indent level is 3 Doping of semiconductor channel region beneath gate insulator (e.g., threshold voltage adjustment, etc.)
[List of Pre Grant Publications for class 438 subclass 218][List of Patents for class 438 subclass 218]218 Subclass 218 indent level is 3 Including isolation structure
[List of Pre Grant Publications for class 438 subclass 229][List of Patents for class 438 subclass 229]229 Subclass 229 indent level is 3 Self-aligned
 [List of Pre Grant Publications for class 438 subclass 233][List of Patents for class 438 subclass 233]233 Subclass 233 indent level is 3 And contact formation
[List of Pre Grant Publications for class 438 subclass 234][List of Patents for class 438 subclass 234]234 Subclass 234 indent level is 2 Including bipolar transistor (i.e., BiMOS)
 [List of Pre Grant Publications for class 438 subclass 237][List of Patents for class 438 subclass 237]237 Subclass 237 indent level is 2 Including diode
[List of Pre Grant Publications for class 438 subclass 238][List of Patents for class 438 subclass 238]238 Subclass 238 indent level is 2 Including passive device (e.g., resistor, capacitor, etc.)
[List of Pre Grant Publications for class 438 subclass 257][List of Patents for class 438 subclass 257]257 Subclass 257 indent level is 2 Having additional gate electrode surrounded by dielectric (i.e., floating gate)
[List of Pre Grant Publications for class 438 subclass 268][List of Patents for class 438 subclass 268]268 Subclass 268 indent level is 2 Vertical channel
[List of Pre Grant Publications for class 438 subclass 275][List of Patents for class 438 subclass 275]275 Subclass 275 indent level is 2 Making plural insulated gate field effect transistors of differing electrical characteristics
 [List of Pre Grant Publications for class 438 subclass 279][List of Patents for class 438 subclass 279]279 Subclass 279 indent level is 2 Making plural insulated gate field effect transistors having common active region
 [List of Pre Grant Publications for class 438 subclass 280][List of Patents for class 438 subclass 280]280 Subclass 280 indent level is 2 Having underpass or crossunder
 [List of Pre Grant Publications for class 438 subclass 281][List of Patents for class 438 subclass 281]281 Subclass 281 indent level is 2 Having fuse or integral short
 [List of Pre Grant Publications for class 438 subclass 282][List of Patents for class 438 subclass 282]282 Subclass 282 indent level is 2 Buried channel
 [List of Pre Grant Publications for class 438 subclass 283][List of Patents for class 438 subclass 283]283 Subclass 283 indent level is 2 Plural gate electrodes (e.g., dual gate, etc.)
 [List of Pre Grant Publications for class 438 subclass 284][List of Patents for class 438 subclass 284]284 Subclass 284 indent level is 2 Closed or loop gate
 [List of Pre Grant Publications for class 438 subclass 285][List of Patents for class 438 subclass 285]285 Subclass 285 indent level is 2 Utilizing compound semiconductor
 [List of Pre Grant Publications for class 438 subclass 286][List of Patents for class 438 subclass 286]286 Subclass 286 indent level is 2 Asymmetric
 [List of Pre Grant Publications for class 438 subclass 287][List of Patents for class 438 subclass 287]287 Subclass 287 indent level is 2 Gate insulator structure constructed of diverse dielectrics (e.g., MNOS, etc.) or of nonsilicon compound
 [List of Pre Grant Publications for class 438 subclass 288][List of Patents for class 438 subclass 288]288 Subclass 288 indent level is 2 Having step of storing electrical charge in gate dielectric
[List of Pre Grant Publications for class 438 subclass 289][List of Patents for class 438 subclass 289]289 Subclass 289 indent level is 2 Doping of semiconductive channel region beneath gate insulator (e.g., adjusting threshold voltage, etc.)
 [List of Pre Grant Publications for class 438 subclass 292][List of Patents for class 438 subclass 292]292 Subclass 292 indent level is 2 Direct application of electrical current
 [List of Pre Grant Publications for class 438 subclass 293][List of Patents for class 438 subclass 293]293 Subclass 293 indent level is 2 Fusion or solidification of semiconductor region
[List of Pre Grant Publications for class 438 subclass 294][List of Patents for class 438 subclass 294]294 Subclass 294 indent level is 2 Including isolation structure
[List of Pre Grant Publications for class 438 subclass 299][List of Patents for class 438 subclass 299]299 Subclass 299 indent level is 2 Self-aligned
 [List of Pre Grant Publications for class 438 subclass 308][List of Patents for class 438 subclass 308]308 Subclass 308 indent level is 2 Radiation or energy treatment modifying properties of semiconductor regions of substrate (e.g., thermal, corpuscular, electromagnetic, etc.)
[List of Pre Grant Publications for class 438 subclass 309][List of Patents for class 438 subclass 309]309 FORMING BIPOLAR TRANSISTOR BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS
 [List of Pre Grant Publications for class 438 subclass 310][List of Patents for class 438 subclass 310]310 Subclass 310 indent level is 1 Gettering of semiconductor substrate
 [List of Pre Grant Publications for class 438 subclass 311][List of Patents for class 438 subclass 311]311 Subclass 311 indent level is 1 On insulating substrate or layer (i.e., SOI type)
[List of Pre Grant Publications for class 438 subclass 312][List of Patents for class 438 subclass 312]312 Subclass 312 indent level is 1 Having heterojunction
[List of Pre Grant Publications for class 438 subclass 322][List of Patents for class 438 subclass 322]322 Subclass 322 indent level is 1 Complementary bipolar transistors
 [List of Pre Grant Publications for class 438 subclass 328][List of Patents for class 438 subclass 328]328 Subclass 328 indent level is 1 Including diode
[List of Pre Grant Publications for class 438 subclass 329][List of Patents for class 438 subclass 329]329 Subclass 329 indent level is 1 Including passive device (e.g., resistor, capacitor, etc.)
 [List of Pre Grant Publications for class 438 subclass 333][List of Patents for class 438 subclass 333]333 Subclass 333 indent level is 1 Having fuse or integral short
 [List of Pre Grant Publications for class 438 subclass 334][List of Patents for class 438 subclass 334]334 Subclass 334 indent level is 1 Forming inverted transistor structure
[List of Pre Grant Publications for class 438 subclass 335][List of Patents for class 438 subclass 335]335 Subclass 335 indent level is 1 Forming lateral transistor structure
 [List of Pre Grant Publications for class 438 subclass 340][List of Patents for class 438 subclass 340]340 Subclass 340 indent level is 1 Making plural bipolar transistors of differing electrical characteristics
 [List of Pre Grant Publications for class 438 subclass 341][List of Patents for class 438 subclass 341]341 Subclass 341 indent level is 1 Using epitaxial lateral overgrowth
 [List of Pre Grant Publications for class 438 subclass 342][List of Patents for class 438 subclass 342]342 Subclass 342 indent level is 1 Having multiple emitter or collector structure
 [List of Pre Grant Publications for class 438 subclass 343][List of Patents for class 438 subclass 343]343 Subclass 343 indent level is 1 Mesa or stacked emitter
 [List of Pre Grant Publications for class 438 subclass 344][List of Patents for class 438 subclass 344]344 Subclass 344 indent level is 1 Washed emitter
 [List of Pre Grant Publications for class 438 subclass 345][List of Patents for class 438 subclass 345]345 Subclass 345 indent level is 1 Walled emitter
 [List of Pre Grant Publications for class 438 subclass 346][List of Patents for class 438 subclass 346]346 Subclass 346 indent level is 1 Emitter dip prevention or utilization
 [List of Pre Grant Publications for class 438 subclass 347][List of Patents for class 438 subclass 347]347 Subclass 347 indent level is 1 Permeable or metal base
 [List of Pre Grant Publications for class 438 subclass 348][List of Patents for class 438 subclass 348]348 Subclass 348 indent level is 1 Sidewall base contact
 [List of Pre Grant Publications for class 438 subclass 349][List of Patents for class 438 subclass 349]349 Subclass 349 indent level is 1 Pedestal base
 [List of Pre Grant Publications for class 438 subclass 350][List of Patents for class 438 subclass 350]350 Subclass 350 indent level is 1 Forming base region of specified dopant concentration profile (e.g., inactive base region more heavily doped than active base region, etc.)
 [List of Pre Grant Publications for class 438 subclass 351][List of Patents for class 438 subclass 351]351 Subclass 351 indent level is 1 Direct application of electrical current
 [List of Pre Grant Publications for class 438 subclass 352][List of Patents for class 438 subclass 352]352 Subclass 352 indent level is 1 Fusion or solidification of semiconductor region
[List of Pre Grant Publications for class 438 subclass 353][List of Patents for class 438 subclass 353]353 Subclass 353 indent level is 1 Including isolation structure
[List of Pre Grant Publications for class 438 subclass 364][List of Patents for class 438 subclass 364]364 Subclass 364 indent level is 1 Self-aligned
 [List of Pre Grant Publications for class 438 subclass 378][List of Patents for class 438 subclass 378]378 Subclass 378 indent level is 1 Radiation or energy treatment modifying properties of semiconductor regions of substrate (e.g., thermal, corpuscular, electromagnetic, etc.)
 [List of Pre Grant Publications for class 438 subclass 379][List of Patents for class 438 subclass 379]379 VOLTAGE VARIABLE CAPACITANCE DEVICE MANUFACTURE (E.G., VARACTOR, ETC.)
 [List of Pre Grant Publications for class 438 subclass 380][List of Patents for class 438 subclass 380]380 AVALANCHE DIODE MANUFACTURE (E.G., IMPATT, TRAPPAT, ETC.)
[List of Pre Grant Publications for class 438 subclass 381][List of Patents for class 438 subclass 381]381 MAKING PASSIVE DEVICE (E.G., RESISTOR, CAPACITOR, ETC.)
[List of Pre Grant Publications for class 438 subclass 400][List of Patents for class 438 subclass 400]400 FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE
 [List of Pre Grant Publications for class 438 subclass 401][List of Patents for class 438 subclass 401]401 Subclass 401 indent level is 1 Having substrate registration feature (e.g., alignment mark)
 [List of Pre Grant Publications for class 438 subclass 402][List of Patents for class 438 subclass 402]402 Subclass 402 indent level is 1 And gettering of substrate
 [List of Pre Grant Publications for class 438 subclass 403][List of Patents for class 438 subclass 403]403 Subclass 403 indent level is 1 Having semi-insulating component
[List of Pre Grant Publications for class 438 subclass 404][List of Patents for class 438 subclass 404]404 Subclass 404 indent level is 1 Total dielectric isolation
[List of Pre Grant Publications for class 438 subclass 414][List of Patents for class 438 subclass 414]414 Subclass 414 indent level is 1 Isolation by PN junction only
[List of Pre Grant Publications for class 438 subclass 421][List of Patents for class 438 subclass 421]421 Subclass 421 indent level is 1 Having air-gap dielectric (e.g., groove, etc.)
 [List of Pre Grant Publications for class 438 subclass 423][List of Patents for class 438 subclass 423]423 Subclass 423 indent level is 1 Implanting to form insulator
[List of Pre Grant Publications for class 438 subclass 424][List of Patents for class 438 subclass 424]424 Subclass 424 indent level is 1 Grooved and refilled with deposited dielectric material
[List of Pre Grant Publications for class 438 subclass 439][List of Patents for class 438 subclass 439]439 Subclass 439 indent level is 1 Recessed oxide by localized oxidation (i.e., LOCOS)
 [List of Pre Grant Publications for class 438 subclass 454][List of Patents for class 438 subclass 454]454 Subclass 454 indent level is 1 Field plate electrode
[List of Pre Grant Publications for class 438 subclass 455][List of Patents for class 438 subclass 455]455 BONDING OF PLURAL SEMICONDUCTOR SUBSTRATES
[List of Pre Grant Publications for class 438 subclass 460][List of Patents for class 438 subclass 460]460 SEMICONDUCTOR SUBSTRATE DICING
[List of Pre Grant Publications for class 438 subclass 466][List of Patents for class 438 subclass 466]466 DIRECT APPLICATION OF ELECTRICAL CURRENT
[List of Pre Grant Publications for class 438 subclass 471][List of Patents for class 438 subclass 471]471 GETTERING OF SUBSTRATE
[List of Pre Grant Publications for class 438 subclass 478][List of Patents for class 438 subclass 478]478 FORMATION OF SEMICONDUCTIVE ACTIVE REGION ON ANY SUBSTRATE (E.G., FLUID GROWTH, DEPOSITION)
[List of Pre Grant Publications for class 438 subclass 510][List of Patents for class 438 subclass 510]510 INTRODUCTION OF CONDUCTIVITY MODIFYING DOPANT INTO SEMICONDUCTIVE MATERIAL
 [List of Pre Grant Publications for class 438 subclass 511][List of Patents for class 438 subclass 511]511 Subclass 511 indent level is 1 Ordering or disordering
 [List of Pre Grant Publications for class 438 subclass 512][List of Patents for class 438 subclass 512]512 Subclass 512 indent level is 1 Involving nuclear transmutation doping
 [List of Pre Grant Publications for class 438 subclass 513][List of Patents for class 438 subclass 513]513 Subclass 513 indent level is 1 Plasma (e.g., glow discharge, etc.)
[List of Pre Grant Publications for class 438 subclass 514][List of Patents for class 438 subclass 514]514 Subclass 514 indent level is 1 Ion implantation of dopant into semiconductor region
[List of Pre Grant Publications for class 438 subclass 535][List of Patents for class 438 subclass 535]535 Subclass 535 indent level is 1 By application of corpuscular or electromagnetic radiation (e.g., electron, laser, etc.)
[List of Pre Grant Publications for class 438 subclass 537][List of Patents for class 438 subclass 537]537 Subclass 537 indent level is 1 Fusing dopant with substrate (i.e., alloy junction)
[List of Pre Grant Publications for class 438 subclass 542][List of Patents for class 438 subclass 542]542 Subclass 542 indent level is 1 Diffusing a dopant
[List of Pre Grant Publications for class 438 subclass 570][List of Patents for class 438 subclass 570]570 FORMING SCHOTTKY JUNCTION (I.E., SEMICONDUCTOR-CONDUCTOR RECTIFYING JUNCTION CONTACT)
[List of Pre Grant Publications for class 438 subclass 584][List of Patents for class 438 subclass 584]584 COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL
[List of Pre Grant Publications for class 438 subclass 585][List of Patents for class 438 subclass 585]585 Subclass 585 indent level is 1 Insulated gate formation
[List of Pre Grant Publications for class 438 subclass 597][List of Patents for class 438 subclass 597]597 Subclass 597 indent level is 1 To form ohmic contact to semiconductive material
[List of Pre Grant Publications for class 438 subclass 598][List of Patents for class 438 subclass 598]598 Subclass 598 indent level is 2 Selectively interconnecting (e.g., customization, wafer scale integration, etc.)
[List of Pre Grant Publications for class 438 subclass 602][List of Patents for class 438 subclass 602]602 Subclass 602 indent level is 2 To compound semiconductor
 [List of Pre Grant Publications for class 438 subclass 607][List of Patents for class 438 subclass 607]607 Subclass 607 indent level is 2 With epitaxial conductor formation
[List of Pre Grant Publications for class 438 subclass 608][List of Patents for class 438 subclass 608]608 Subclass 608 indent level is 2 Oxidic conductor (e.g., indium tin oxide, etc.)
 [List of Pre Grant Publications for class 438 subclass 610][List of Patents for class 438 subclass 610]610 Subclass 610 indent level is 2 Conductive macromolecular conductor (including metal powder filled composition)
 [List of Pre Grant Publications for class 438 subclass 611][List of Patents for class 438 subclass 611]611 Subclass 611 indent level is 2 Beam lead formation
[List of Pre Grant Publications for class 438 subclass 612][List of Patents for class 438 subclass 612]612 Subclass 612 indent level is 2 Forming solder contact or bonding pad
[List of Pre Grant Publications for class 438 subclass 618][List of Patents for class 438 subclass 618]618 Subclass 618 indent level is 2 Contacting multiple semiconductive regions (i.e., interconnects)
 [List of Pre Grant Publications for class 438 subclass 619][List of Patents for class 438 subclass 619]619 Subclass 619 indent level is 3 Air bridge structure
 [List of Pre Grant Publications for class 438 subclass 620][List of Patents for class 438 subclass 620]620 Subclass 620 indent level is 3 Forming contacts of differing depths into semiconductor substrate
 [List of Pre Grant Publications for class 438 subclass 621][List of Patents for class 438 subclass 621]621 Subclass 621 indent level is 3 Contacting diversely doped semiconductive regions (e.g., p-type and n-type regions, etc.)
[List of Pre Grant Publications for class 438 subclass 622][List of Patents for class 438 subclass 622]622 Subclass 622 indent level is 3 Multiple metal levels, separated by insulating layer (i.e., multiple level metallization)
[List of Pre Grant Publications for class 438 subclass 642][List of Patents for class 438 subclass 642]642 Subclass 642 indent level is 3 Diverse conductors
[List of Pre Grant Publications for class 438 subclass 652][List of Patents for class 438 subclass 652]652 Subclass 652 indent level is 2 Plural layered electrode or conductor
[List of Pre Grant Publications for class 438 subclass 658][List of Patents for class 438 subclass 658]658 Subclass 658 indent level is 2 Altering composition of conductor
[List of Pre Grant Publications for class 438 subclass 660][List of Patents for class 438 subclass 660]660 Subclass 660 indent level is 2 Including heat treatment of conductive layer
 [List of Pre Grant Publications for class 438 subclass 665][List of Patents for class 438 subclass 665]665 Subclass 665 indent level is 2 Utilizing textured surface
[List of Pre Grant Publications for class 438 subclass 666][List of Patents for class 438 subclass 666]666 Subclass 666 indent level is 2 Specified configuration of electrode or contact
[List of Pre Grant Publications for class 438 subclass 669][List of Patents for class 438 subclass 669]669 Subclass 669 indent level is 2 And patterning of conductive layer
[List of Pre Grant Publications for class 438 subclass 674][List of Patents for class 438 subclass 674]674 Subclass 674 indent level is 2 Selective deposition of conductive layer
 [List of Pre Grant Publications for class 438 subclass 678][List of Patents for class 438 subclass 678]678 Subclass 678 indent level is 2 Electroless deposition of conductive layer
 [List of Pre Grant Publications for class 438 subclass 679][List of Patents for class 438 subclass 679]679 Subclass 679 indent level is 2 Evaporative coating of conductive layer
[List of Pre Grant Publications for class 438 subclass 680][List of Patents for class 438 subclass 680]680 Subclass 680 indent level is 2 Utilizing chemical vapor deposition (i.e., CVD)
[List of Pre Grant Publications for class 438 subclass 682][List of Patents for class 438 subclass 682]682 Subclass 682 indent level is 2 Silicide
 [List of Pre Grant Publications for class 438 subclass 684][List of Patents for class 438 subclass 684]684 Subclass 684 indent level is 2 Electrically conductive polysilicon
 [List of Pre Grant Publications for class 438 subclass 685][List of Patents for class 438 subclass 685]685 Subclass 685 indent level is 2 Refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof)
 [List of Pre Grant Publications for class 438 subclass 686][List of Patents for class 438 subclass 686]686 Subclass 686 indent level is 2 Noble group metal (i.e., silver (Ag), gold (Au), platinum (Pt), palladium (Pd), rhodium (Rh), ruthenium (Ru), iridium (Ir), osmium (Os), or alloy thereof)
 [List of Pre Grant Publications for class 438 subclass 687][List of Patents for class 438 subclass 687]687 Subclass 687 indent level is 2 Copper of copper alloy conductor
 [List of Pre Grant Publications for class 438 subclass 688][List of Patents for class 438 subclass 688]688 Subclass 688 indent level is 2 Aluminum or aluminum alloy conductor
[List of Pre Grant Publications for class 438 subclass 689][List of Patents for class 438 subclass 689]689 CHEMICAL ETCHING
[List of Pre Grant Publications for class 438 subclass 690][List of Patents for class 438 subclass 690]690 Subclass 690 indent level is 1 Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.)
[List of Pre Grant Publications for class 438 subclass 694][List of Patents for class 438 subclass 694]694 Subclass 694 indent level is 1 Combined with coating step
 [List of Pre Grant Publications for class 438 subclass 704][List of Patents for class 438 subclass 704]704 Subclass 704 indent level is 1 Having liquid and vapor etching steps
 [List of Pre Grant Publications for class 438 subclass 705][List of Patents for class 438 subclass 705]705 Subclass 705 indent level is 1 Altering etchability of substrate region by compositional or crystalline modification
[List of Pre Grant Publications for class 438 subclass 706][List of Patents for class 438 subclass 706]706 Subclass 706 indent level is 1 Vapor phase etching (i.e., dry etching)
[List of Pre Grant Publications for class 438 subclass 707][List of Patents for class 438 subclass 707]707 Subclass 707 indent level is 2 Utilizing electromagnetic or wave energy
 [List of Pre Grant Publications for class 438 subclass 734][List of Patents for class 438 subclass 734]734 Subclass 734 indent level is 2 Sequential etching steps on a single layer
[List of Pre Grant Publications for class 438 subclass 735][List of Patents for class 438 subclass 735]735 Subclass 735 indent level is 2 Differential etching of semiconductor substrate
[List of Pre Grant Publications for class 438 subclass 745][List of Patents for class 438 subclass 745]745 Subclass 745 indent level is 1 Liquid phase etching
[List of Pre Grant Publications for class 438 subclass 758][List of Patents for class 438 subclass 758]758 COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE
 [List of Pre Grant Publications for class 438 subclass 759][List of Patents for class 438 subclass 759]759 Subclass 759 indent level is 1 Combined with the removal of material by nonchemical means
 [List of Pre Grant Publications for class 438 subclass 760][List of Patents for class 438 subclass 760]760 Subclass 760 indent level is 1 Utilizing reflow (e.g., planarization, etc.)
[List of Pre Grant Publications for class 438 subclass 761][List of Patents for class 438 subclass 761]761 Subclass 761 indent level is 1 Multiple layers
 [List of Pre Grant Publications for class 438 subclass 764][List of Patents for class 438 subclass 764]764 Subclass 764 indent level is 1 Formation of semi-insulative polycrystalline silicon
[List of Pre Grant Publications for class 438 subclass 765][List of Patents for class 438 subclass 765]765 Subclass 765 indent level is 1 By reaction with substrate
[List of Pre Grant Publications for class 438 subclass 778][List of Patents for class 438 subclass 778]778 Subclass 778 indent level is 1 Insulative material deposited upon semiconductive substrate
[List of Pre Grant Publications for class 438 subclass 795][List of Patents for class 438 subclass 795]795 RADIATION OR ENERGY TREATMENT MODIFYING PROPERTIES OF SEMICONDUCTOR REGION OF SUBSTRATE (E.G., THERMAL, CORPUSCULAR, ELECTROMAGNETIC, ETC.)
 [List of Pre Grant Publications for class 438 subclass 800][List of Patents for class 438 subclass 800]800 MISCELLANEOUS
 
CROSS-REFERENCE ART COLLECTIONS
 
 [List of Pre Grant Publications for class 438 subclass 900][List of Patents for class 438 subclass 900]900 BULK EFFECT DEVICE MAKING
 [List of Pre Grant Publications for class 438 subclass 901][List of Patents for class 438 subclass 901]901 CAPACITIVE JUNCTION
 [List of Pre Grant Publications for class 438 subclass 902][List of Patents for class 438 subclass 902]902 CAPPING LAYER
 [List of Pre Grant Publications for class 438 subclass 903][List of Patents for class 438 subclass 903]903 CATALYST AIDED DEPOSITION
 [List of Pre Grant Publications for class 438 subclass 904][List of Patents for class 438 subclass 904]904 CHARGE CARRIER LIFETIME CONTROL
 [List of Pre Grant Publications for class 438 subclass 905][List of Patents for class 438 subclass 905]905 CLEANING OF REACTION CHAMBER
 [List of Pre Grant Publications for class 438 subclass 906][List of Patents for class 438 subclass 906]906 CLEANING OF WAFER AS INTERIM STEP
[List of Pre Grant Publications for class 438 subclass 907][List of Patents for class 438 subclass 907]907 CONTINUOUS PROCESSING
 [List of Pre Grant Publications for class 438 subclass 909][List of Patents for class 438 subclass 909]909 CONTROLLED ATMOSPHERE
 [List of Pre Grant Publications for class 438 subclass 910][List of Patents for class 438 subclass 910]910 CONTROLLING CHARGING STATE AT SEMICONDUCTOR-INSULATOR INTERFACE
 [List of Pre Grant Publications for class 438 subclass 911][List of Patents for class 438 subclass 911]911 DIFFERENTIAL OXIDATION AND ETCHING
 [List of Pre Grant Publications for class 438 subclass 912][List of Patents for class 438 subclass 912]912 DISPLACING PN JUNCTION
 [List of Pre Grant Publications for class 438 subclass 913][List of Patents for class 438 subclass 913]913 DIVERSE TREATMENTS PERFORMED IN UNITARY CHAMBER
[List of Pre Grant Publications for class 438 subclass 914][List of Patents for class 438 subclass 914]914 DOPING
 [List of Pre Grant Publications for class 438 subclass 926][List of Patents for class 438 subclass 926]926 DUMMY METALLIZATION
 [List of Pre Grant Publications for class 438 subclass 927][List of Patents for class 438 subclass 927]927 ELECTROMIGRATION RESISTANT METALLIZATION
 [List of Pre Grant Publications for class 438 subclass 928][List of Patents for class 438 subclass 928]928 FRONT AND REAR SURFACE PROCESSING
 [List of Pre Grant Publications for class 438 subclass 929][List of Patents for class 438 subclass 929]929 EUTECTIC SEMICONDUCTOR
 [List of Pre Grant Publications for class 438 subclass 930][List of Patents for class 438 subclass 930]930 TERNARY OR QUATERNARY SEMICONDUCTOR COMPRISED OF ELEMENTS FROM THREE DIFFERENT GROUPS (E.G., I-III-V, ETC.)
 [List of Pre Grant Publications for class 438 subclass 931][List of Patents for class 438 subclass 931]931 SILICON CARBIDE SEMICONDUCTOR
 [List of Pre Grant Publications for class 438 subclass 932][List of Patents for class 438 subclass 932]932 BORON NITRIDE SEMICONDUCTOR
 [List of Pre Grant Publications for class 438 subclass 933][List of Patents for class 438 subclass 933]933 GERMANIUM OR SILICON OR GE-SI ON III-V
 [List of Pre Grant Publications for class 438 subclass 934][List of Patents for class 438 subclass 934]934 SHEET RESISTANCE (I.E., DOPANT PARAMETERS)
 [List of Pre Grant Publications for class 438 subclass 935][List of Patents for class 438 subclass 935]935 GAS FLOW CONTROL
 [List of Pre Grant Publications for class 438 subclass 936][List of Patents for class 438 subclass 936]936 GRADED ENERGY GAP
 [List of Pre Grant Publications for class 438 subclass 937][List of Patents for class 438 subclass 937]937 HILLOCK PREVENTION
 [List of Pre Grant Publications for class 438 subclass 938][List of Patents for class 438 subclass 938]938 LATTICE STRAIN CONTROL OR UTILIZATION
 [List of Pre Grant Publications for class 438 subclass 939][List of Patents for class 438 subclass 939]939 LANGMUIR-BLODGETT FILM UTILIZATION
 [List of Pre Grant Publications for class 438 subclass 940][List of Patents for class 438 subclass 940]940 LASER ABLATIVE MATERIAL REMOVAL
 [List of Pre Grant Publications for class 438 subclass 941][List of Patents for class 438 subclass 941]941 LOADING EFFECT MITIGATION
[List of Pre Grant Publications for class 438 subclass 942][List of Patents for class 438 subclass 942]942 MASKING
 [List of Pre Grant Publications for class 438 subclass 953][List of Patents for class 438 subclass 953]953 MAKING RADIATION RESISTANT DEVICE
 [List of Pre Grant Publications for class 438 subclass 954][List of Patents for class 438 subclass 954]954 MAKING OXIDE-NITRIDE-OXIDE DEVICE
 [List of Pre Grant Publications for class 438 subclass 955][List of Patents for class 438 subclass 955]955 MELT-BACK
 [List of Pre Grant Publications for class 438 subclass 956][List of Patents for class 438 subclass 956]956 MAKING MULTIPLE WAVELENGTH EMISSIVE DEVICE
 [List of Pre Grant Publications for class 438 subclass 957][List of Patents for class 438 subclass 957]957 MAKING METAL-INSULATOR-METAL DEVICE
 [List of Pre Grant Publications for class 438 subclass 958][List of Patents for class 438 subclass 958]958 PASSIVATION LAYER
 [List of Pre Grant Publications for class 438 subclass 959][List of Patents for class 438 subclass 959]959 MECHANICAL POLISHING OF WAFER
 [List of Pre Grant Publications for class 438 subclass 960][List of Patents for class 438 subclass 960]960 POROUS SEMICONDUCTOR
 [List of Pre Grant Publications for class 438 subclass 961][List of Patents for class 438 subclass 961]961 ION BEAM SOURCE AND GENERATION
 [List of Pre Grant Publications for class 438 subclass 962][List of Patents for class 438 subclass 962]962 QUANTUM DOTS AND LINES
 [List of Pre Grant Publications for class 438 subclass 963][List of Patents for class 438 subclass 963]963 REMOVING PROCESS RESIDUES FROM VERTICAL SUBSTRATE SURFACES
 [List of Pre Grant Publications for class 438 subclass 964][List of Patents for class 438 subclass 964]964 ROUGHENED SURFACE
 [List of Pre Grant Publications for class 438 subclass 965][List of Patents for class 438 subclass 965]965 SHAPED JUNCTION FORMATION
 [List of Pre Grant Publications for class 438 subclass 966][List of Patents for class 438 subclass 966]966 SELECTIVE OXIDATION OF ION-AMORPHOUSIZED LAYER
 [List of Pre Grant Publications for class 438 subclass 967][List of Patents for class 438 subclass 967]967 SEMICONDUCTOR ON SPECIFIED INSULATOR
 [List of Pre Grant Publications for class 438 subclass 968][List of Patents for class 438 subclass 968]968 SEMICONDUCTOR-METAL-SEMICONDUCTOR
 [List of Pre Grant Publications for class 438 subclass 969][List of Patents for class 438 subclass 969]969 SIMULTANEOUS FORMATION OF MONOCRYSTALLINE AND POLYCRYSTALLINE REGIONS
 [List of Pre Grant Publications for class 438 subclass 970][List of Patents for class 438 subclass 970]970 SPECIFIED ETCH STOP MATERIAL
 [List of Pre Grant Publications for class 438 subclass 971][List of Patents for class 438 subclass 971]971 STOICHIOMETRIC CONTROL OF HOST SUBSTRATE COMPOSITION
 [List of Pre Grant Publications for class 438 subclass 972][List of Patents for class 438 subclass 972]972 STORED CHARGE ERASURE
 [List of Pre Grant Publications for class 438 subclass 973][List of Patents for class 438 subclass 973]973 SUBSTRATE ORIENTATION
 [List of Pre Grant Publications for class 438 subclass 974][List of Patents for class 438 subclass 974]974 SUBSTRATE SURFACE PREPARATION
 [List of Pre Grant Publications for class 438 subclass 975][List of Patents for class 438 subclass 975]975 SUBSTRATE OR MASK ALIGNING FEATURE
 [List of Pre Grant Publications for class 438 subclass 976][List of Patents for class 438 subclass 976]976 TEMPORARY PROTECTIVE LAYER
 [List of Pre Grant Publications for class 438 subclass 977][List of Patents for class 438 subclass 977]977 THINNING OR REMOVAL OF SUBSTRATE
 [List of Pre Grant Publications for class 438 subclass 978][List of Patents for class 438 subclass 978]978 FORMING TAPERED EDGES ON SUBSTRATE OR ADJACENT LAYERS
 [List of Pre Grant Publications for class 438 subclass 979][List of Patents for class 438 subclass 979]979 TUNNEL DIODES
 [List of Pre Grant Publications for class 438 subclass 980][List of Patents for class 438 subclass 980]980 UTILIZING PROCESS EQUIVALENTS OR OPTIONS
 [List of Pre Grant Publications for class 438 subclass 981][List of Patents for class 438 subclass 981]981 UTILIZING VARYING DIELECTRIC THICKNESS
 [List of Pre Grant Publications for class 438 subclass 982][List of Patents for class 438 subclass 982]982 VARYING ORIENTATION OF DEVICES IN ARRAY
 [List of Pre Grant Publications for class 438 subclass 983][List of Patents for class 438 subclass 983]983 ZENER DIODES
 
FOREIGN ART COLLECTIONS
 
      FOR000          CLASS-RELATED FOREIGN DOCUMENTS
Any foreign patents or non-patent literature from subclasses that have been reclassified have been transferred directly to FOR Collections listed below. These Collections contain ONLY foreign patents or non-patent literature. The parenthetical references in the Collection titles refer to the abolished subclasses from which these Collections were derived.
     METHODS (156/1)
  FOR100          Subclass FOR100 indent level is 1 Etching of semiconductor precursor, substrates, and devices used in an electrical function (156/625.1)
  FOR101          Subclass FOR101 indent level is 2 Measuring, testing, or inspecting (156/626.1)
      FOR103          Subclass FOR103 indent level is 2 Altering the etchability of a substrate by alloying, diffusing, or chemical reacting (156/628.1)
  FOR104          Subclass FOR104 indent level is 2 With uniting of preforms (e.g., laminating, etc.) (156/629.1)
      FOR110          Subclass FOR110 indent level is 2 With in situ activation or combining of etching components on surface (156/635.1)
      FOR111          Subclass FOR111 indent level is 2 With thin film of etchant between relatively moving substrate and conforming surface (e.g., chemical lapping, etc.) (156/636.1)
  FOR112          Subclass FOR112 indent level is 2 With relative movement between the substrate and a confined pool of etchant (156/637.1)
      FOR115          Subclass FOR115 indent level is 2 Projection of etchant against a moving substrate or controlling the angle or pattern of projected etchant (156/640.1)
      FOR116          Subclass FOR116 indent level is 2 Recycling or regenerating etchant (156/642.1)
      FOR117          Subclass FOR117 indent level is 2 With treatment by high energy radiation or plasma (e.g., ion beam, etc.) (156/643.1)
      FOR118          Subclass FOR118 indent level is 2 Forming or increasing the size of an aperture (156/644.1)
      FOR119          Subclass FOR119 indent level is 2 With mechanical deformation, severing, or abrading of a substrate (156/ 645.1)
      FOR120          Subclass FOR120 indent level is 2 Etchant is a gas (156/646.1)
      FOR121          Subclass FOR121 indent level is 2 Etching according to crystalline planes (156/647.1)
  FOR122          Subclass FOR122 indent level is 2 Etching isolates or modifies a junction in a barrier layer (156/648.1)
  FOR124          Subclass FOR124 indent level is 2 Sequential application of etchant material (156/650.1)
  FOR128          Subclass FOR128 indent level is 2 Differential etching of a substrate (156/654.1)
      FOR134          Subclass FOR134 indent level is 2 Silicon, germanium, or gallium containing substrate (156/662.1)
      FOR135          MAKING DEVICE HAVING ORGANIC SEMICONDUCTOR COMPONENT (437/1)
  FOR136          MAKING DEVICE RESPONSIVE TO RADIATION (437/2)
      FOR140          MAKING THYRISTOR, E.G., DIAC, TRIAC, ETC. (437/6)
      FOR141          INCLUDING CONTROL RESPONSIVE TO SENSED CONDITION (437/7)
      FOR142          INCLUDING TESTING OR MEASURING (437/8)
      FOR143          INCLUDING APPLICATION OF VIBRATORY FORCE (437/9)
  FOR144          INCLUDING GETTERING (437/10)
      FOR148          THERMOMIGRATION (437/14)
  FOR149          INCLUDING FORMING A SEMICONDUCTOR JUNCTION (437/15)
  FOR150          Subclass FOR150 indent level is 1 Using energy beam to introduce dopant or modify dopant distribution (437/ 16)
      FOR151          Subclass FOR151 indent level is 2 Neutron, gamma ray or electron beam (437/17)
      FOR152          Subclass FOR152 indent level is 2 Ionized molecules (437/18)
      FOR153          Subclass FOR153 indent level is 2 Coherent light beam (437/19)
      FOR154          Subclass FOR154 indent level is 2 Ion beam implantation (437/20)
  FOR155          Subclass FOR155 indent level is 2 Of semiconductor on insulating substrate (437/21)
  FOR156          Subclass FOR156 indent level is 3 Of semiconductor compound (437/22)
      FOR157          Subclass FOR157 indent level is 4 Light emitting diode (LED) (437/23)
      FOR158          Subclass FOR158 indent level is 3 Providing nondopant ion including proton (437/24)
      FOR159          Subclass FOR159 indent level is 3 Providing auxiliary heating (437/25)
      FOR160          Subclass FOR160 indent level is 3 Forming buried region (437/26)
  FOR161          Subclass FOR161 indent level is 3 Including multiple implantations of same region (437/27)
      FOR169          Subclass FOR169 indent level is 3 Using oblique beam (437/35)
      FOR170          Subclass FOR170 indent level is 3 Using shadow mask (437/36)
      FOR171          Subclass FOR171 indent level is 3 Having projected range less than thickness of dielectrics on substrate (437/37)
      FOR172          Subclass FOR172 indent level is 3 Into shaped or grooved semiconductor substrate (437/38)
  FOR173          Subclass FOR173 indent level is 3 Involving Schottky contact formation (437/39)
  FOR202          Subclass FOR202 indent level is 4 Gate structure constructed of diverse dielectrics (437/42)
      FOR203          Subclass FOR203 indent level is 5 Gate surrounded by dielectric layer, e.g., floating gate, etc. (437/43)
      FOR204          Subclass FOR204 indent level is 5 Adjusting channel dimension (437/44)
      FOR205          Subclass FOR205 indent level is 5 Active step for controlling threshold voltage (437/45)
      FOR185          Subclass FOR185 indent level is 5 Self-aligned (437/41 R)
      FOR186          Subclass FOR186 indent level is 5 With bipolar (437/41 RBP)
      FOR187          Subclass FOR187 indent level is 5 CMOS (437/41 RCM)
      FOR188          Subclass FOR188 indent level is 5 Lightly doped drain (437/41 RLD)
      FOR189          Subclass FOR189 indent level is 5 Memory devices (437/41 RMM)
      FOR190          Subclass FOR190 indent level is 5 Asymmetrical FET (437/41 AS)
      FOR191          Subclass FOR191 indent level is 5 Channel specifics (437/41 CS)
      FOR192          Subclass FOR192 indent level is 5 DMOS/vertical FET (437/41 DM)
      FOR193          Subclass FOR193 indent level is 5 Gate specifics (437/41 GS)
      FOR194          Subclass FOR194 indent level is 5 Junction FET/static induction transistor (437/41 JF)
      FOR195          Subclass FOR195 indent level is 5 Layered channel (437/41 LC)
      FOR196          Subclass FOR196 indent level is 5 Specifics of metallization/contact (437/41 SM)
      FOR197          Subclass FOR197 indent level is 5 Recessed gate (Schottky falls below in SH) (437/41 RG)
      FOR198          Subclass FOR198 indent level is 5 Schottky gate/MESFET (437/41 SH)
      FOR199          Subclass FOR199 indent level is 5 Sidewall (437/41 SW)
      FOR200          Subclass FOR200 indent level is 5 Thin film transistor, inverted (437/41 TFI)
      FOR201          Subclass FOR201 indent level is 5 Thin film transistor (437/41 TFT)
      FOR174          Subclass FOR174 indent level is 4 Forming pair of device regions separated by gate structure, i.e., FET (437/40 R)
      FOR175          Subclass FOR175 indent level is 4 Asymmetrical FET (any asymmetry in S/D profile, gate spacing, etc.) (437/40 AS)
      FOR176          Subclass FOR176 indent level is 4 DMOS/vertical FET (437/40 DM)
      FOR177          Subclass FOR177 indent level is 4 Gate specific (specifics of gate insulator/structure/material/ contact) (437/40 GS)
      FOR178          Subclass FOR178 indent level is 4 Junction FET/static induction transistor (437/40 JF)
      FOR179          Subclass FOR179 indent level is 4 Layered channel (e.g., HEMT, MODFET, 2DEG, heterostructure FETS) (437/40 LC)
      FOR180          Subclass FOR180 indent level is 4 Recessed gate (437/40 RG)
      FOR181          Subclass FOR181 indent level is 4 Schottky gate/MESFET (controls over RG) (437/40 SH)
      FOR182          Subclass FOR182 indent level is 4 Sidewall (not LDDs) (437/40 SW)
      FOR183          Subclass FOR183 indent level is 4 Thin film transistor inverted/staggered (437/40 TFI)
      FOR184          Subclass FOR184 indent level is 4 Thin film transistor (437/40 TFT)
      FOR206          Subclass FOR206 indent level is 3 Into polycrystalline or polyamorphous regions (437/46)
      FOR207          Subclass FOR207 indent level is 3 Integrating active with passive devices (437/47)
  FOR208          Subclass FOR208 indent level is 3 Forming plural active devices in grid/array, e.g., RAMS/ROMS, etc. (437/48)
      FOR210          Subclass FOR210 indent level is 3 Forming electrodes in laterally spaced relationships (437/50)
  FOR211          Subclass FOR211 indent level is 1 Making assemblies of plural individual devices having community feature, e.g., integrated circuit, electrical connection, etc. (437/51)
  FOR221          Subclass FOR221 indent level is 1 Including isolation step (437/61)
      FOR222          Subclass FOR222 indent level is 2 By forming total dielectric isolation (437/62)
      FOR223          Subclass FOR223 indent level is 2 By forming vertical isolation combining dielectric and PN junction (437/63)
  FOR224          Subclass FOR224 indent level is 2 Using vertical dielectric (air-gap/insulator) and horizontal PN junction (437/64)
  FOR234          Subclass FOR234 indent level is 2 Isolation by PN junction only (437/74)
      FOR240          Subclass FOR240 indent level is 1 Shadow masking (437/80)
  FOR241          Subclass FOR241 indent level is 1 Doping during fluid growth of semiconductor material on substrate (437/81)
      FOR242          Subclass FOR242 indent level is 2 Including heat to anneal (437/82)
      FOR243          Subclass FOR243 indent level is 2 Growing single crystal on amorphous substrate (437/83)
      FOR244          Subclass FOR244 indent level is 2 Growing single crystal on single crystal insulator (SOS) (437/84)
      FOR245          Subclass FOR245 indent level is 2 Including purifying stage during growth (437/85)
      FOR246          Subclass FOR246 indent level is 2 Using transitory substrate (437/86)
      FOR247          Subclass FOR247 indent level is 2 Using inert atmosphere (437/87)
      FOR248          Subclass FOR248 indent level is 2 Using catalyst to alter growth process (437/88)
  FOR249          Subclass FOR249 indent level is 2 Growth through opening (437/89)
      FOR253          Subclass FOR253 indent level is 2 Specified crystal orientation other than (100) or (111) planes (437/93)
      FOR254          Subclass FOR254 indent level is 2 Introducing minority carrier life time reducing dopant during growth, i.e., deep level dopant Au (Gold), Cr (Cromium), Fe (Iron), Ni (Nickel), etc. (437/94)
  FOR255          Subclass FOR255 indent level is 2 Autodoping control (437/95)
  FOR257          Subclass FOR257 indent level is 2 Forming buried regions with outdiffusion control (437/97)
      FOR259          Subclass FOR259 indent level is 2 Growing mono and polycrystalline regions simultaneously (437/99)
      FOR260          Subclass FOR260 indent level is 2 Growing silicon carbide (SiC) (437/100)
      FOR261          Subclass FOR261 indent level is 2 Growing amorphous semiconductor material (437/101)
  FOR262          Subclass FOR262 indent level is 2 Source and substrate in close-space relationship (437/102)
  FOR265          Subclass FOR265 indent level is 2 Vacuum growing using molecular beam, i.e., vacuum deposition (437/105)
  FOR268          Subclass FOR268 indent level is 2 Growing single layer in multi-steps (437/108)
      FOR273          Subclass FOR273 indent level is 2 Using electric current, e.g., Peltier effect, glow discharge, etc. (437/ 113)
  FOR274          Subclass FOR274 indent level is 2 Using seed in liquid phase (437/114)
  FOR275          Subclass FOR275 indent level is 3 Pulling from melt (437/115)
      FOR276          Subclass FOR276 indent level is 4 And diffusing (437/116)
      FOR277          Subclass FOR277 indent level is 2 Liquid and vapor phase epitaxy in sequence (437/117)
      FOR278          Subclass FOR278 indent level is 2 Involving capillary action (437/118)
  FOR279          Subclass FOR279 indent level is 2 Sliding liquid phase epitaxy (437/119)
      FOR285          Subclass FOR285 indent level is 2 Tipping liquid phase epitaxy (437/125)
  FOR286          Subclass FOR286 indent level is 2 Heteroepitaxy (437/126)
  FOR294          Subclass FOR294 indent level is 1 By fusing dopant with substrate, e.g., alloying, etc. (437/134)
  FOR301          Subclass FOR301 indent level is 1 Diffusing a dopant (437/141)
      FOR302          Subclass FOR302 indent level is 2 To control carrier lifetime, i.e., deep level dopant Au (Gold), Cr (Chromium), Fe (Iron), Ni (Nickel), etc. (437/142)
      FOR303          Subclass FOR303 indent level is 2 Al (Aluminum) dopant (437/143)
      FOR304          Subclass FOR304 indent level is 2 Li (Lithium) dopant (437/144)
      FOR305          Subclass FOR305 indent level is 2 Including nonuniform heating (437/145)
      FOR306          Subclass FOR306 indent level is 2 To solid state solubility concentration (437/146)
  FOR307          Subclass FOR307 indent level is 2 Using multiple layered mask (437/147)
      FOR309          Subclass FOR309 indent level is 2 Forming partially overlapping regions (437/149)
  FOR310          Subclass FOR310 indent level is 2 Plural dopants in same region, e.g., through same mask opening, etc. (437/150)
      FOR311          Subclass FOR311 indent level is 3 Simultaneously (437/151)
      FOR312          Subclass FOR312 indent level is 2 Plural dopants simultaneously in plural region (437/152)
  FOR313          Subclass FOR313 indent level is 2 Single dopant forming plural diverse regions (437/153)
      FOR315          Subclass FOR315 indent level is 2 Using metal mask (437/155)
      FOR316          Subclass FOR316 indent level is 2 Outwardly (437/156)
      FOR317          Subclass FOR317 indent level is 2 Laterally under mask (437/157)
      FOR318          Subclass FOR318 indent level is 2 Edge diffusion by using edge portion of structure other than masking layer to mask (437/158)
      FOR319          Subclass FOR319 indent level is 2 From melt (437/159)
  FOR320          Subclass FOR320 indent level is 2 From solid dopant source in contact with substrate (437/160)
  FOR325          Subclass FOR325 indent level is 2 From vapor phase (437/165)
  FOR330          DIRECTLY APPLYING ELECTRICAL CURRENT (437/170)
  FOR333          APPLYING CORPUSCULAR OR ELECTROMAGNETIC ENERGY (437/173)
      FOR334          Subclass FOR334 indent level is 1 To anneal (437/174)
  FOR335          FORMING SCHOTTKY CONTACT (437/175)
  FOR340          MAKING OR ATTACHING ELECTRODE ON OR TO SEMICONDUCTOR, OR SECURING COMPLETED SEMICONDUCTOR TO MOUNTING OR HOUSING (437/180)
      FOR341          Subclass FOR341 indent level is 1 Forming transparent electrode (437/181)
      FOR342          Subclass FOR342 indent level is 1 Forming beam electrode (437/182)
      FOR343          Subclass FOR343 indent level is 1 Forming bump electrode (437/183)
      FOR344          Subclass FOR344 indent level is 1 Electrode formed on substrate composed of elements of Group III and Group V semiconductor compound (437/184)
      FOR345          Subclass FOR345 indent level is 1 Electrode formed on substrate composed of elements of Group II and Group VI semiconductor compound (437/185)
      FOR346          Subclass FOR346 indent level is 1 Single polycrystalline electrode layer on substrate (437/186)
  FOR347          Subclass FOR347 indent level is 1 Single metal layer electrode on substrate (437/187)
  FOR349          Subclass FOR349 indent level is 1 Forming plural layered electrode (437/189)
  FOR356          Subclass FOR356 indent level is 1 Forming electrode of alloy or electrode of a compound of Si (Silicon) (437/196)
      FOR363          Subclass FOR363 indent level is 1 Depositing electrode in preformed recess in substrate (437/203)
      FOR364          Subclass FOR364 indent level is 1 Including positioning of point contact (437/204)
  FOR365          Subclass FOR365 indent level is 1 Making plural devices (437/205)
  FOR369          Subclass FOR369 indent level is 1 Securing completed semiconductor to mounting, housing or external lead (437/209)
  FOR385          INCLUDING COATING OR MATERIAL REMOVAL, E.G., ETCHING, GRINDING, ETC. (437/ 225)
  FOR386          Subclass FOR386 indent level is 1 Substrate dicing (437/226)
      FOR387          Subclass FOR387 indent level is 2 With a perfecting coating (437/227)
      FOR388          Subclass FOR388 indent level is 1 Coating and etching (437/228)
      FOR389          Subclass FOR389 indent level is 1 Of radiation resist layer (437/229)
      FOR390          Subclass FOR390 indent level is 1 By immersion metal plating from solution, i.e., electroless plating (437/230)
      FOR391          Subclass FOR391 indent level is 1 By spinning (437/231)
      FOR392          Subclass FOR392 indent level is 1 Elemental Se (Selenium) substrate or coating (437/232)
  FOR393          Subclass FOR393 indent level is 1 Of polycrystalline semiconductor material on substrate (437/233)
  FOR395          Subclass FOR395 indent level is 1 Of a dielectric or insulative material (437/235)
  FOR405          Subclass FOR405 indent level is 1 Comprising metal layer (437/245)
      FOR406          Subclass FOR406 indent level is 2 On metal (437/246)
  FOR407          TEMPERATURE TREATMENT MODIFYING PROPERTIES OF SEMICONDUCTOR, E.G., ANNEALING, SINTERING, ETC. (437/247)
      FOR408          Subclass FOR408 indent level is 1 Heating and cooling (437/248)
      FOR409          INCLUDING SHAPING (437/249)
      FOR410          MISCELLANEOUS (437/250)
      FOR411          UTILIZING PROCESS EQUIVALENTS OR OPTIONS (437/900)
      FOR412          MAKING PRESSURE SENSITIVE DEVICE (437/901)
      FOR413          MAKING DEVICE HAVING HEAT SINK (437/902)
      FOR414          MAKING THERMOPILE (437/903)
  FOR415          MAKING DIODE (437/904)
  FOR416          Subclass FOR416 indent level is 1 Light emmitting diode (437/905)
      FOR417          Subclass FOR417 indent level is 2 Mounting and contact (437/906)
      FOR418          LASER PROCESSING OF FIELD EFFECT TRANSISTOR (FET) (437/907)
      FOR419          LASER PROCESSING OF TRANSISTOR (437/908)
      FOR420          MAKING TRANSISTOR ONLY (437/909)
      FOR421          MAKING JOSEPHSON JUNCTION DEVICE (437/910)
      FOR422          MAKING JUNCTION-FIELD EFFECT TRANSISTOR (J-FET) OR STATIC INDUCTION THYRSISTOR (SIT) DEVICE (437/911)
      FOR423          MAKING METAL SEMICONDUCTOR FIELD EFFECT TRANSISTOR (MESFET) DEVICE ONLY (437/912)
      FOR424          MAKING METAL OXIDE SEMICONDUCTOR FIELD EFFECT TRANSISTOR (MOSFET) DEVICE (437/913)
      FOR425          MAKING NON-EPITAXIAL DEVICE (437/914)
      FOR426          MAKING VERTICALLY STACKED DEVICES (3-DIMENSIONAL STRUCTURE) (437/915)
      FOR427          MAKING PHOTOCATHODE OR VIDICON (437/916)
      FOR428          MAKING LATERAL TRANSISTOR (437/917)
      FOR429          MAKING RESISTOR (437/918)
      FOR430          MAKING CAPACITOR (437/919)
      FOR431          MAKING SILICON-OXIDE-NITRIDE-OXIDE ON SILICON (SONOS) DEVICE (437/920)
      FOR432          MAKING STRAIN GAGE (437/921)
      FOR433          MAKING FUSE OR FUSABLE DEVICE (437/922)
      FOR434          WITH REPAIR OR RECOVERY OF DEVICE (437/923)
      FOR435          HAVING SUBSTRATE OR MASK ALIGNING FEATURE (437/924)
      FOR436          SUBSTRATE SUPPORT OR CAPSULE CONSTRUCTION (437/925)
      FOR437          CONTINUOUS PROCESSING (437/926)
      FOR438          FORMING HOLLOW BODIES AND ENCLOSED CAVITIES (437/927)
      FOR439          ENERGY BEAM TREATING RADIATION RESIST ON SEMICONDUCTOR (437/928)
      FOR440          RADIATION ENHANCED DIFFUSION (R.E.D.) (437/929)
      FOR441          ION BEAM SOURCE AND GENERATION (437/930)
      FOR442          IMPLANTATION THROUGH MASK (437/931)
      FOR443          RECOIL IMPLANTATION (437/932)
      FOR444          DUAL SPECIES IMPLANTATION OF SEMICONDUCTOR (437/933)
      FOR445          DOPANT ACTIVATION PROCESS (437/934)
      FOR446          BEAM WRITING OF PATTERNS (437/935)
      FOR447          BEAM PROCESSING OF COMPOUND SEMICONDUCTOR DEVICE (437/936)
      FOR448          HYDROGEN PLASMA TREATMENT OF SEMICONDUCTOR DEVICE (437/937)
      FOR449          MAKING RADIATION RESISTANT DEVICE (437/938)
      FOR450          DEFECT CONTROL OF SEMICONDUCTOR WAFER (PRETREATMENT) (437/939)
      FOR451          SELECTIVE OXIDATION OF ION AMORPHOUSIZED LAYERS (437/940)
      FOR452          CONTROLLING CHARGING STATE AT SEMICONDUCTOR-INSULATOR INTERFACE (437/941)
      FOR453          INCOHERENT LIGHT PROCESSING (437/942)
      FOR454          THERMALLY ASSISTED BEAM PROCESSING (437/943)
      FOR455          UTILIZING LIFT OFF (437/944)
      FOR456          STOICHIOMETRIC CONTROL OF HOST SUBSTRATE COMPOSITION (437/945)
      FOR457          SUBSTRATE SURFACE PREPARATION (437/946)
      FOR458          FORMING TAPERED EDGES ON SUBSTRATE OR ADJACENT LAYERS (437/947)
      FOR459          MOVABLE MASK (437/948)
      FOR460          CONTROLLED ATMOSPHERE (437/949)
      FOR461          SHALLOW DIFFUSION (437/950)
      FOR462          AMPHOTERIC DOPING (437/951)
      FOR463          CONTROLLING DIFFUSION PROFILE BY OXIDATION (437/952)
      FOR464          DIFFUSION OF OVERLAPPING REGIONS (COMPENSATION) (437/953)
      FOR465          VERTICAL DIFFUSION THROUGH A LAYER (437/954)
      FOR466          NONSELECTIVE DIFFUSION (437/955)
      FOR467          DISPLACING P-N JUNCTION (437/956)
      FOR468          ELECTROMIGRATION (437/957)
      FOR469          SHAPED JUNCTION FORMATION (437/958)
      FOR470          USING NONSTANDARD DOPANT (437/959)
      FOR471          WASHED EMITTER PROCESS (437/960)
      FOR472          EMITTER DIP PREVENTION (OR UTILIZATION) (437/961)
      FOR473          UTILIZING SPECIAL MASKS (CARBON, ETC.) (437/962)
      FOR474          LOCALIZED HEATING CONTROL DURING FLUID GROWTH (437/963)
      FOR475          FLUID GROWTH INVOLVING VAPOR-LIQUID-SOLID STAGES (437/964)
      FOR476          FLUID GROWTH OF COMPOUNDS COMPOSED OF GROUPS II, IV, OR VI ELEMENTS (437/965)
      FOR477          FORMING THIN SHEETS (437/966)
      FOR478          PRODUCING POLYCRYSTALLINE SEMICONDUCTOR MATERIAL (437/967)
      FOR479          SELECTIVE OXIDATION OF POLYCRYSTALLINE LAYER (437/968)
      FOR480          FORMING GRADED ENERGY GAP LAYERS (437/969)
      FOR481          DIFFERENTIAL CRYSTAL GROWTH (437/970)
      FOR482          FLUID GROWTH DOPING CONTROL (437/971)
      FOR483          UTILIZING MELT-BACK (437/972)
      FOR484          SOLID PHASE EPITAXIAL GROWTH (437/973)
      FOR485          THINNING OR REMOVAL OF SUBSTRATE (437/974)
      FOR486          DIFFUSION ALONG GRAIN BOUNDARIES (437/975)
      FOR487          CONTROLLING LATTICE STRAIN (437/976)
      FOR488          UTILIZING ROUGHENED SURFACE (437/977)
      FOR489          UTILIZING MULTIPLE DIELECTRIC LAYERS (437/978)
      FOR490          UTILIZING THICK-THIN OXIDE FORMATION (437/979)
      FOR491          FORMING POLYCRYSTALLINE SEMICONDUCTOR PASSIVATION (437/980)
      FOR492          PRODUCING TAPERED ETCHING (437/981)
      FOR493          REFLOW OF INSULATOR (437/982)
      FOR494          OXIDATION OF GATE OR GATE CONTACT LAYER (437/983)
      FOR495          SELF-ALIGNING FEATURE (437/984)
      FOR496          DIFFERENTIAL OXIDATION AND ETCHING (437/985)
      FOR497          DIFFUSING LATERALLY AND ETCHING (437/986)
      FOR498          DIFFUSING DOPANTS IN COMPOUND SEMICONDUCTOR (437/987)