Class 438: SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS ( Manual of U.S. Patent Classification )

U.S. PATENT AND TRADEMARK OFFICE
Information Products Division
[Manual of Classification, Class Listing] [Classification Index] [USPTO Home Page]

Manual of U.S. Patent Classification
as of June 30, 2000


Class
438
SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS


Class definitions may be accessed by clicking on the class title, above.
Subclass definitions may be accessed by clicking on the subclass number, below.

Patents classified in a subclass may be accessed by clicking on the " [Patents] " icon following the subclass number, below.

( please note that links to definitions and classified patents may not be available for some classes and subclasses )

For search strategies, please refer to the Classification Index Explanation of Data web page.

Simple Text Search Across All U.S. Patent Classes in the Manual of Classification (requires a JAVA-enabled web browser)

--------------------------------------------------------------

Subclass Title
ClassTitle ===> SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS
1[Patents]HAVING BIOMATERIAL COMPONENT OR INTEGRATED WITH LIVING ORGANISM
2[Patents]HAVING SUPERCONDUCTIVE COMPONENT
3[Patents]HAVING MAGNETIC OR FERROELECTRIC COMPONENT
4[Patents]REPAIR OR RESTORATION
5[Patents]INCLUDING CONTROL RESPONSIVE TO SENSED CONDITION
6[Patents] . Interconnecting plural devices on semiconductor substrate
7[Patents] . Optical characteristic sensed
8[Patents] . . Chemical etching
9[Patents] . . . Plasma etching
10[Patents] . Electrical characteristic sensed
11[Patents] . . Utilizing integral test element
12[Patents] . . And removal of defect
13[Patents] . . Altering electrical property by material removal
14[Patents]WITH MEASURING OR TESTING
15[Patents] . Packaging (e.g., with mounting, encapsulating, etc.) or treatment of packaged semiconductor
16[Patents] . Optical characteristic sensed
17[Patents] . Electrical characteristic sensed
18[Patents] . . Utilizing integral test element
19[Patents]HAVING INTEGRAL POWER SOURCE (E.G., BATTERY, ETC.)
20[Patents]ELECTRON EMITTER MANUFACTURE
21[Patents]MANUFACTURE OF ELECTRICAL DEVICE CONTROLLED PRINTHEAD
22[Patents]MAKING DEVICE OR CIRCUIT EMISSIVE OF NONELECTRICAL SIGNAL
23[Patents] . Having diverse electrical device
24[Patents] . . Including device responsive to nonelectrical signal
25[Patents] . . . Packaging (e.g., with mounting, encapsulating, etc.) or treatment of packaged semiconductor
26[Patents] . Packaging (e.g., with mounting, encapsulating, etc.) or treatment of packaged semiconductor
27[Patents] . . Having additional optical element (e.g., optical fiber, etc.)
28[Patents] . . Plural emissive devices
29[Patents] . Including integrally formed optical element (e.g., reflective layer, luminescent material, contoured surface, etc.)
30[Patents] . . Liquid crystal component
31[Patents] . . Optical waveguide structure
32[Patents] . . Optical grating structure
33[Patents] . Substrate dicing
34[Patents] . Making emissive array
35[Patents] . . Multiple wavelength emissive
36[Patents] . Ordered or disordered
37[Patents] . Graded composition
38[Patents] . Passivating of surface
39[Patents] . Mesa formation
40[Patents] . . Tapered etching
41[Patents] . . With epitaxial deposition of semiconductor adjacent mesa
42[Patents] . Groove formation
43[Patents] . . Tapered etching
44[Patents] . . With epitaxial deposition of semiconductor in groove
45[Patents] . Dopant introduction into semiconductor region
46[Patents] . Compound semiconductor
47[Patents] . . Heterojunction
48[Patents]MAKING DEVICE OR CIRCUIT RESPONSIVE TO NONELECTRICAL SIGNAL
49[Patents] . Chemically responsive
50[Patents] . Physical stress responsive
51[Patents] . . Packaging (e.g., with mounting, encapsulating, etc.) or treatment of packaged semiconductor
52[Patents] . . Having cantilever element
53[Patents] . . Having diaphragm element
54[Patents] . Thermally responsive
55[Patents] . . Packaging (e.g., with mounting, encapsulating, etc.) or treatment of packaged semiconductor
56[Patents] . Responsive to corpuscular radiation (e.g., nuclear particle detector, etc.)
57[Patents] . Responsive to electromagnetic radiation
58[Patents] . . Gettering of substrate
59[Patents] . . Having diverse electrical device
60[Patents] . . . Charge transfer device (e.g., CCD, etc.)
61[Patents] . . Continuous processing
62[Patents] . . . Using running length substrate
63[Patents] . . Particulate semiconductor component
64[Patents] . . Packaging (e.g., with mounting, encapsulating, etc.) or treatment of packaged semiconductor
65[Patents] . . . Having additional optical element (e.g., optical fiber, etc.)
66[Patents] . . . Plural responsive devices (e.g., array, etc.)
67[Patents] . . . . Assembly of plural semiconductor substrates
68[Patents] . . Substrate dicing
69[Patents] . . Including integrally formed optical element (e.g., reflective layer, luminescent layer, etc.)
70[Patents] . . . Color filter
71[Patents] . . . Specific surface topography (e.g., textured surface, etc.)
72[Patents] . . . Having reflective or antireflective component
73[Patents] . . Making electromagnetic responsive array
74[Patents] . . . Vertically arranged (e.g., tandem, stacked, etc.)
75[Patents] . . . Charge transfer device (e.g., CCD, etc.)
76[Patents] . . . . Majority signal carrier (e.g., buried or bulk channel, peristaltic, etc.)
77[Patents] . . . . Compound semiconductor
78[Patents] . . . . Having structure to improve output signal (e.g., exposure control structure, etc.)
79[Patents] . . . . . Having blooming suppression structure (e.g., antiblooming drain, etc.)
80[Patents] . . . Lateral series connected array
81[Patents] . . . . Specified shape junction barrier (e.g., V-grooved junction, etc.)
82[Patents] . . Having organic semiconductor component
83[Patents] . . Forming point contact
84[Patents] . . Having selenium or tellurium elemental semiconductor component
85[Patents] . . Having metal oxide or copper sulfide compound semiconductive component
86[Patents] . . . And cadmium sulfide compound semiconductive component
87[Patents] . . Graded composition
88[Patents] . . Direct application of electric current
89[Patents] . . Fusion or solidification of semiconductor region
90[Patents] . . Including storage of electrical charge in substrate
91[Patents] . . Avalanche diode
92[Patents] . . Schottky barrier junction
93[Patents] . . Compound semiconductor
94[Patents] . . . Heterojunction
95[Patents] . . . Chalcogen (i.e., oxygen (O), sulfur (S), selenium (Se), tellurium (Te)) containing
96[Patents] . . Amorphous semiconductor
97[Patents] . . Polycrystalline semiconductor
98[Patents] . . Contact formation (i.e., metallization)
99[Patents]HAVING ORGANIC SEMICONDUCTIVE COMPONENT
100[Patents]MAKING POINT CONTACT DEVICE
101[Patents] . Direct application of electrical current
102[Patents]HAVING SELENIUM OR TELLURIUM ELEMENTAL SEMICONDUCTOR COMPONENT
103[Patents] . Direct application of electrical current
104[Patents]HAVING METAL OXIDE OR COPPER SULFIDE COMPOUND SEMICONDUCTOR COMPONENT
105[Patents]HAVING DIAMOND SEMICONDUCTOR COMPONENT
106[Patents]PACKAGING (E.G., WITH MOUNTING, ENCAPSULATING, ETC.) OR TREATMENT OF PACKAGED SEMICONDUCTOR
107[Patents] . Assembly of plural semiconductive substrates each possessing electrical device
108[Patents] . . Flip-chip-type assembly
109[Patents] . . Stacked array (e.g., rectifier, etc.)
110[Patents] . Making plural separate devices
111[Patents] . . Using strip lead frame
112[Patents] . . . And encapsulating
113[Patents] . . Substrate dicing
114[Patents] . . . Utilizing a coating to perfect the dicing
115[Patents] . Including contaminant removal or mitigation
116[Patents] . Having light transmissive window
117[Patents] . Incorporating resilient component (e.g., spring, etc.)
118[Patents] . Including adhesive bonding step
119[Patents] . . Electrically conductive adhesive
120[Patents] . With vibration step
121[Patents] . Metallic housing or support
122[Patents] . . Possessing thermal dissipation structure (i.e., heat sink)
123[Patents] . . Lead frame
124[Patents] . . And encapsulating
125[Patents] . Insulative housing or support
126[Patents] . . And encapsulating
127[Patents] . Encapsulating
128[Patents]MAKING DEVICE ARRAY AND SELECTIVELY INTERCONNECTING
129[Patents] . With electrical circuit layout
130[Patents] . Rendering selected devices operable or inoperable
131[Patents] . Using structure alterable to conductive state (i.e., antifuse)
132[Patents] . Using structure alterable to nonconductive state (i.e., fuse)
133[Patents]MAKING REGENERATIVE-TYPE SWITCHING DEVICE (E.G., SCR, IGBT, THYRISTOR, ETC.)
134[Patents] . Bidirectional rectifier with control electrode (e.g., triac, diac, etc.)
135[Patents] . Having field effect structure
136[Patents] . . Junction gate
137[Patents] . . . Vertical channel
138[Patents] . . Vertical channel
139[Patents] . Altering electrical characteristic
140[Patents] . Having structure increasing breakdown voltage (e.g., guard ring, field plate, etc.)
141[Patents]MAKING CONDUCTIVITY MODULATION DEVICE (E.G., UNIJUNCTION TRANSISTOR, DOUBLE BASE DIODE, CONDUCTIVITY-MODULATED TRANSISTOR, ETC.)
142[Patents]MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS
143[Patents] . Gettering of semiconductor substrate
144[Patents] . Charge transfer device (e.g., CCD, etc.)
145[Patents] . . Having additional electrical device
146[Patents] . . Majority signal carrier (e.g., buried or bulk channel, peristaltic, etc.)
147[Patents] . . Changing width or direction of channel (e.g., meandering channel, etc.)
148[Patents] . . Substantially incomplete signal charge transfer (e.g., bucket brigade, etc.)
149[Patents] . On insulating substrate or layer (e.g., TFT, etc.)
150[Patents] . . Specified crystallographic orientation
151[Patents] . . Having insulated gate
152[Patents] . . . Combined with electrical device not on insulating substrate or layer
153[Patents] . . . . Complementary field effect transistors
154[Patents] . . . Complementary field effect transistors
155[Patents] . . . And additional electrical device on insulating substrate or layer
156[Patents] . . . Vertical channel
157[Patents] . . . Plural gate electrodes (e.g., dual gate, etc.)
158[Patents] . . . Inverted transistor structure
159[Patents] . . . . Source-to-gate or drain-to-gate overlap
160[Patents] . . . . Utilizing backside irradiation
161[Patents] . . . Including source or drain electrode formation prior to semiconductor layer formation (i.e., staggered electrodes)
162[Patents] . . . Introduction of nondopant into semiconductor layer
163[Patents] . . . Adjusting channel dimension (e.g., providing lightly doped source or drain region, etc.)
164[Patents] . . . Semiconductor islands formed upon insulating substrate or layer (e.g., mesa formation, etc.)
165[Patents] . . . . Including differential oxidation
166[Patents] . . . Including recrystallization step
167[Patents] . Having Schottky gate (e.g., MESFET, HEMT, etc.)
168[Patents] . . Specified crystallographic orientation
169[Patents] . . Complementary Schottky gate field effect transistors
170[Patents] . . And bipolar device
171[Patents] . . And passive electrical device (e.g., resistor, capacitor, etc.)
172[Patents] . . Having heterojunction (e.g., HEMT, MODFET, etc.)
173[Patents] . . Vertical channel
174[Patents] . . Doping of semiconductive channel region beneath gate (e.g., threshold voltage adjustment, etc.)
175[Patents] . . Buried channel
176[Patents] . . Plural gate electrodes (e.g., dual gate, etc.)
177[Patents] . . Closed or loop gate
178[Patents] . . Elemental semiconductor
179[Patents] . . Asymmetric
180[Patents] . . Self-aligned
181[Patents] . . . Doping of semiconductive region
182[Patents] . . . . T-gate
183[Patents] . . . . Dummy gate
184[Patents] . . . . Utilizing gate sidewall structure
185[Patents] . . . . . Multiple doping steps
186[Patents] . Having junction gate (e.g., JFET, SIT, etc.)
187[Patents] . . Specified crystallographic orientation
188[Patents] . . Complementary junction gate field effect transistors
189[Patents] . . And bipolar transistor
190[Patents] . . And passive device (e.g., resistor, capacitor, etc.)
191[Patents] . . Having heterojunction
192[Patents] . . Vertical channel
193[Patents] . . . Multiple parallel current paths (e.g., grid gate, etc.)
194[Patents] . . Doping of semiconductive channel region beneath gate (e.g., threshold voltage adjustment, etc.)
195[Patents] . . Plural gate electrodes
196[Patents] . . Including isolation structure
197[Patents] . Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.)
198[Patents] . . Specified crystallographic orientation
199[Patents] . . Complementary insulated gate field effect transistors (i.e., CMOS)
200[Patents] . . . And additional electrical device
201[Patents] . . . . Including insulated gate field effect transistor having gate surrounded by dielectric (i.e., floating gate)
202[Patents] . . . . Including bipolar transistor (i.e., BiCMOS)
203[Patents] . . . . . Complementary bipolar transistors
204[Patents] . . . . . Lateral bipolar transistor
205[Patents] . . . . . Plural bipolar transistors of differing electrical characteristics
206[Patents] . . . . . Vertical channel insulated gate field effect transistor
207[Patents] . . . . . Including isolation structure
208[Patents] . . . . . . Isolation by PN junction only
209[Patents] . . . . Including additional vertical channel insulated gate field effect transistor
210[Patents] . . . . Including passive device (e.g., resistor, capacitor, etc.)
211[Patents] . . . Having gate surrounded by dielectric (i.e., floating gate)
212[Patents] . . . Vertical channel
213[Patents] . . . Common active region
214[Patents] . . . Having underpass or crossunder
215[Patents] . . . Having fuse or integral short
216[Patents] . . . Gate insulator structure constructed of diverse dielectrics (e.g., MNOS, etc.) or of nonsilicon compound
217[Patents] . . . Doping of semiconductor channel region beneath gate insulator (e.g., threshold voltage adjustment, etc.)
218[Patents] . . . Including isolation structure
219[Patents] . . . . Total dielectric isolation
220[Patents] . . . . Isolation by PN junction only
221[Patents] . . . . Dielectric isolation formed by grooving and refilling with dielectric material
222[Patents] . . . . . With epitaxial semiconductor layer formation
223[Patents] . . . . . Having well structure of opposite conductivity type
224[Patents] . . . . . . Plural wells
225[Patents] . . . . Recessed oxide formed by localized oxidation (i.e., LOCOS)
226[Patents] . . . . . With epitaxial semiconductor layer formation
227[Patents] . . . . . Having well structure of opposite conductivity type
228[Patents] . . . . . . Plural wells
229[Patents] . . . Self-aligned
230[Patents] . . . . Utilizing gate sidewall structure
231[Patents] . . . . . Plural doping steps
232[Patents] . . . . Plural doping steps
233[Patents] . . . And contact formation
234[Patents] . . Including bipolar transistor (i.e., BiMOS)
235[Patents] . . . Heterojunction bipolar transistor
236[Patents] . . . Lateral bipolar transistor
237[Patents] . . Including diode
238[Patents] . . Including passive device (e.g., resistor, capacitor, etc.)
239[Patents] . . . Capacitor
240[Patents] . . . . Having high dielectric constant insulator (e.g., Ta2O5, etc.)
241[Patents] . . . . And additional field effect transistor (e.g., sense or access transistor, etc.)
242[Patents] . . . . . Including transistor formed on trench sidewalls
243[Patents] . . . . Trench capacitor
244[Patents] . . . . . Utilizing stacked capacitor structure (e.g., stacked trench, buried stacked capacitor, etc.)
245[Patents] . . . . . With epitaxial layer formed over the trench
246[Patents] . . . . . Including doping of trench surfaces
247[Patents] . . . . . . Multiple doping steps
248[Patents] . . . . . . Including isolation means formed in trench
249[Patents] . . . . . . Doping by outdiffusion from a dopant source layer (e.g., doped oxide, etc.)
250[Patents] . . . . Planar capacitor
251[Patents] . . . . . Including doping of semiconductive region
252[Patents] . . . . . . Multiple doping steps
253[Patents] . . . . Stacked capacitor
254[Patents] . . . . . Including selectively removing material to undercut and expose storage node layer
255[Patents] . . . . . Including texturizing storage node layer
256[Patents] . . . . . Contacts formed by selective growth or deposition
257[Patents] . . Having additional gate electrode surrounded by dielectric (i.e., floating gate)
258[Patents] . . . Including additional field effect transistor (e.g., sense or access transistor, etc.)
259[Patents] . . . Including forming gate electrode in trench or recess in substrate
260[Patents] . . . Textured surface of gate insulator or gate electrode
261[Patents] . . . Multiple interelectrode dielectrics or nonsilicon compound gate insulator
262[Patents] . . . Including elongated source or drain region disposed under thick oxide regions (e.g., buried or diffused bitline, etc.)
263[Patents] . . . . Tunneling insulator
264[Patents] . . . Tunneling insulator
265[Patents] . . . Oxidizing sidewall of gate electrode
266[Patents] . . . Having additional, nonmemory control electrode or channel portion (e.g., for accessing field effect transistor structure, etc.)
267[Patents] . . . . Including forming gate electrode as conductive sidewall spacer to another electrode
268[Patents] . . Vertical channel
269[Patents] . . . Utilizing epitaxial semiconductor layer grown through an opening in an insulating layer
270[Patents] . . . Gate electrode in trench or recess in semiconductor substrate
271[Patents] . . . . V-gate
272[Patents] . . . . Totally embedded in semiconductive layers
273[Patents] . . . Having integral short of source and base regions
274[Patents] . . . . Short formed in recess in substrate
275[Patents] . . Making plural insulated gate field effect transistors of differing electrical characteristics
276[Patents] . . . Introducing a dopant into the channel region of selected transistors
277[Patents] . . . . Including forming overlapping gate electrodes
278[Patents] . . . . After formation of source or drain regions and gate electrode (e.g., late programming, encoding, etc.)
279[Patents] . . Making plural insulated gate field effect transistors having common active region
280[Patents] . . Having underpass or crossunder
281[Patents] . . Having fuse or integral short
282[Patents] . . Buried channel
283[Patents] . . Plural gate electrodes (e.g., dual gate, etc.)
284[Patents] . . Closed or loop gate
285[Patents] . . Utilizing compound semiconductor
286[Patents] . . Asymmetric
287[Patents] . . Gate insulator structure constructed of diverse dielectrics (e.g., MNOS, etc.) or of nonsilicon compound
288[Patents] . . Having step of storing electrical charge in gate dielectric
289[Patents] . . Doping of semiconductive channel region beneath gate insulator (e.g., adjusting threshold voltage, etc.)
290[Patents] . . . After formation of source or drain regions and gate electrode
291[Patents] . . . Using channel conductivity dopant of opposite type as that of source and drain
292[Patents] . . Direct application of electrical current
293[Patents] . . Fusion or solidification of semiconductor region
294[Patents] . . Including isolation structure
295[Patents] . . . Total dielectric isolation
296[Patents] . . . Dielectric isolation formed by grooving and refilling with dielectric material
297[Patents] . . . Recessed oxide formed by localized oxidation (i.e., LOCOS)
298[Patents] . . . . Doping region beneath recessed oxide (e.g., to form chanstop, etc.)
299[Patents] . . Self-aligned
300[Patents] . . . Having elevated source or drain (e.g., epitaxially formed source or drain, etc.)
301[Patents] . . . Source or drain doping
302[Patents] . . . . Oblique implantation
303[Patents] . . . . Utilizing gate sidewall structure
304[Patents] . . . . . Conductive sidewall component
305[Patents] . . . . . Plural doping steps
306[Patents] . . . . Plural doping steps
307[Patents] . . . . . Using same conductivity-type dopant
308[Patents] . . Radiation or energy treatment modifying properties of semiconductor regions of substrate (e.g., thermal, corpuscular, electromagnetic, etc.)
309[Patents]FORMING BIPOLAR TRANSISTOR BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS
310[Patents] . Gettering of semiconductor substrate
311[Patents] . On insulating substrate or layer (i.e., SOI type)
312[Patents] . Having heterojunction
313[Patents] . . Complementary bipolar transistors
314[Patents] . . And additional electrical device
315[Patents] . . Forming inverted transistor structure
316[Patents] . . Forming lateral transistor structure
317[Patents] . . Wide bandgap emitter
318[Patents] . . Including isolation structure
319[Patents] . . . Air isolation (e.g., mesa, etc.)
320[Patents] . . Self-aligned
321[Patents] . . . Utilizing dummy emitter
322[Patents] . Complementary bipolar transistors
323[Patents] . . Having common active region (i.e., integrated injection logic (I2L), etc.)
324[Patents] . . . Including additional electrical device
325[Patents] . . . Having lateral bipolar transistor
326[Patents] . . Including additional electrical device
327[Patents] . . Having lateral bipolar transistor
328[Patents] . Including diode
329[Patents] . Including passive device (e.g., resistor, capacitor, etc.)
330[Patents] . . Resistor
331[Patents] . . . Having same doping as emitter or collector
332[Patents] . . . Lightly doped junction isolated resistor
333[Patents] . Having fuse or integral short
334[Patents] . Forming inverted transistor structure
335[Patents] . Forming lateral transistor structure
336[Patents] . . Combined with vertical bipolar transistor
337[Patents] . . Active region formed along groove or exposed edge in semiconductor
338[Patents] . . Having multiple emitter or collector structure
339[Patents] . . Self-aligned
340[Patents] . Making plural bipolar transistors of differing electrical characteristics
341[Patents] . Using epitaxial lateral overgrowth
342[Patents] . Having multiple emitter or collector structure
343[Patents] . Mesa or stacked emitter
344[Patents] . Washed emitter
345[Patents] . Walled emitter
346[Patents] . Emitter dip prevention or utilization
347[Patents] . Permeable or metal base
348[Patents] . Sidewall base contact
349[Patents] . Pedestal base
350[Patents] . Forming base region of specified dopant concentration profile (e.g., inactive base region more heavily doped than active base region, etc.)
351[Patents] . Direct application of electrical current
352[Patents] . Fusion or solidification of semiconductor region
353[Patents] . Including isolation structure
354[Patents] . . Having semi-insulative region
355[Patents] . . Total dielectrical isolation
356[Patents] . . Isolation by PN junction only
357[Patents] . . . Including epitaxial semiconductor layer formation
358[Patents] . . . . Up diffusion of dopant from substrate into epitaxial layer
359[Patents] . . Dielectric isolation formed by grooving and refilling with dielectrical material
360[Patents] . . . With epitaxial semiconductor formation in groove
361[Patents] . . . Including deposition of polysilicon or noninsulative material into groove
362[Patents] . . Recessed oxide by localized oxidation (i.e., LOCOS)
363[Patents] . . . With epitaxial semiconductor layer formation
364[Patents] . Self-aligned
365[Patents] . . Forming active region from adjacent doped polycrystalline or amorphous semiconductor
366[Patents] . . . Having sidewall
367[Patents] . . . . Including conductive component
368[Patents] . . . Simultaneously outdiffusing plural dopants from polysilicon or amorphous semiconductor
369[Patents] . . Dopant implantation or diffusion
370[Patents] . . . Forming buried region (e.g., implanting through insulating layer, etc.)
371[Patents] . . . Simultaneous introduction of plural dopants
372[Patents] . . . . Plural doping steps
373[Patents] . . . . . Multiple ion implantation steps
374[Patents] . . . . . . Using same conductivity-type dopant
375[Patents] . . . . . Forming partially overlapping regions
376[Patents] . . . . . Single dopant forming regions of different depth or concentrations
377[Patents] . . . . . Through same mask opening
378[Patents] . Radiation or energy treatment modifying properties of semiconductor regions of substrate (e.g., thermal, corpuscular, electromagnetic, etc.)
379[Patents]VOLTAGE VARIABLE CAPACITANCE DEVICE MANUFACTURE (E.G., VARACTOR, ETC.)
380[Patents]AVALANCHE DIODE MANUFACTURE (E.G., IMPATT, TRAPPAT, ETC.)
381[Patents]MAKING PASSIVE DEVICE (E.G., RESISTOR, CAPACITOR, ETC.)
382[Patents] . Resistor
383[Patents] . . Lightly doped junction isolated resistor
384[Patents] . . Deposited thin film resistor
385[Patents] . . . Altering resistivity of conductor
386[Patents] . Trench capacitor
387[Patents] . . Having stacked capacitor structure (e.g., stacked trench, buried stacked capacitor, etc.)
388[Patents] . . With epitaxial layer formed over the trench
389[Patents] . . Including doping of trench surfaces
390[Patents] . . . Multiple doping steps
391[Patents] . . . Including isolation means formed in trench
392[Patents] . . . Doping by outdiffusion from a dopant source layer (e.g., doped oxide)
393[Patents] . Planar capacitor
394[Patents] . . Including doping of semiconductive region
395[Patents] . . . Multiple doping steps
396[Patents] . Stacked capacitor
397[Patents] . . Including selectively removing material to undercut and expose storage node layer
398[Patents] . . Including texturizing storage node layer
399[Patents] . . Having contacts formed by selective growth or deposition
400[Patents]FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE
401[Patents] . Having substrate registration feature (e.g., alignment mark)
402[Patents] . And gettering of substrate
403[Patents] . Having semi-insulating component
404[Patents] . Total dielectric isolation
405[Patents] . . And separate partially isolated semiconductor regions
406[Patents] . . Bonding of plural semiconductive substrates
407[Patents] . . Nondopant implantation
408[Patents] . . With electrolytic treatment step
409[Patents] . . . Porous semiconductor formation
410[Patents] . . Encroachment of separate locally oxidized regions
411[Patents] . . Air isolation (e.g., beam lead supported semiconductor islands, etc.)
412[Patents] . . . Semiconductor islands formed upon insulating substrate or layer (e.g., mesa isolation, etc.)
413[Patents] . . With epitaxial semiconductor formation
414[Patents] . Isolation by PN junction only
415[Patents] . . Thermomigration
416[Patents] . . With epitaxial semiconductor formation
417[Patents] . . . And simultaneous polycrystalline growth
418[Patents] . . . Dopant addition
419[Patents] . . . . Plural doping steps
420[Patents] . . Plural doping steps
421[Patents] . Having air-gap dielectric (e.g., groove, etc.)
422[Patents] . . Enclosed cavity
423[Patents] . Implanting to form insulator
424[Patents] . Grooved and refilled with deposited dielectric material
425[Patents] . . Combined with formation of recessed oxide by localized oxidation
426[Patents] . . . Recessed oxide laterally extending from groove
427[Patents] . . Refilling multiple grooves of different widths or depths
428[Patents] . . . Reflow of insulator
429[Patents] . . And epitaxial semiconductor formation in groove
430[Patents] . . And deposition of polysilicon or noninsulative material into groove
431[Patents] . . . Oxidation of deposited material
432[Patents] . . . . Nonoxidized portions remaining in groove after oxidation
433[Patents] . . Dopant addition
434[Patents] . . . From doped insulator in groove
435[Patents] . . Multiple insulative layers in groove
436[Patents] . . . Reflow of insulator
437[Patents] . . . Conformal insulator formation
438[Patents] . . Reflow of insulator
439[Patents] . Recessed oxide by localized oxidation (i.e., LOCOS)
440[Patents] . . Including nondopant implantation
441[Patents] . . With electrolytic treatment step
442[Patents] . . With epitaxial semiconductor layer formation
443[Patents] . . Etchback of recessed oxide
444[Patents] . . Preliminary etching of groove
445[Patents] . . . Masking of groove sidewall
446[Patents] . . . . Polysilicon containing sidewall
447[Patents] . . . . Dopant addition
448[Patents] . . Utilizing oxidation mask having polysilicon component
449[Patents] . . Dopant addition
450[Patents] . . . Implanting through recessed oxide
451[Patents] . . . Plural doping steps
452[Patents] . . Plural oxidation steps to form recessed oxide
453[Patents] . . And electrical conductor formation (i.e., metallization)
454[Patents] . Field plate electrode
455[Patents]BONDING OF PLURAL SEMICONDUCTOR SUBSTRATES
456[Patents] . Having enclosed cavity
457[Patents] . Warping of semiconductor substrate
458[Patents] . Subsequent separation into plural bodies (e.g., delaminating, dicing, etc.)
459[Patents] . Thinning of semiconductor substrate
460[Patents]SEMICONDUCTOR SUBSTRATE DICING
461[Patents] . Beam lead formation
462[Patents] . Having specified scribe region structure (e.g., alignment mark, plural grooves, etc.)
463[Patents] . By electromagnetic irradiation (e.g., electron, laser, etc.)
464[Patents] . With attachment to temporary support or carrier
465[Patents] . Having a perfecting coating
466[Patents]DIRECT APPLICATION OF ELECTRICAL CURRENT
467[Patents] . To alter conductivity of fuse or antifuse element
468[Patents] . Electromigration
469[Patents] . Utilizing pulsed current
470[Patents] . Fusion of semiconductor region
471[Patents]GETTERING OF SUBSTRATE
472[Patents] . By vibrating or impacting
473[Patents] . By implanting or irradiating
474[Patents] . . Ionized radiation (e.g., corpuscular or plasma treatment, etc.)
475[Patents] . . . Hydrogen plasma (i.e., hydrogenization)
476[Patents] . By layers which are coated, contacted, or diffused
477[Patents] . By vapor phase surface reaction
478[Patents]FORMATION OF SEMICONDUCTIVE ACTIVE REGION ON ANY SUBSTRATE (E.G., FLUID GROWTH, DEPOSITION)
479[Patents] . On insulating substrate or layer
480[Patents] . . Including implantation of ion which reacts with semiconductor substrate to form insulating layer
481[Patents] . . Utilizing epitaxial lateral overgrowth
482[Patents] . Amorphous semiconductor
483[Patents] . . Compound semiconductor
484[Patents] . . Running length (e.g., sheet, strip, etc.)
485[Patents] . . Deposition utilizing plasma (e.g., glow discharge, etc.)
486[Patents] . . And subsequent crystallization
487[Patents] . . . Utilizing wave energy (e.g., laser, electron beam, etc.)
488[Patents] . Polycrystalline semiconductor
489[Patents] . . Simultaneous single crystal formation
490[Patents] . . Running length (e.g., sheet, strip, etc.)
491[Patents] . . And subsequent doping of polycrystalline semiconductor
492[Patents] . Fluid growth step with preceding and subsequent diverse operation
493[Patents] . Plural fluid growth steps with intervening diverse operation
494[Patents] . . Differential etching
495[Patents] . . Doping of semiconductor
496[Patents] . . Coating of semiconductive substrate with nonsemiconductive material
497[Patents] . Fluid growth from liquid combined with preceding diverse operation
498[Patents] . . Differential etching
499[Patents] . . Doping of semiconductor
500[Patents] . Fluid growth from liquid combined with subsequent diverse operation
501[Patents] . . Doping of semiconductor
502[Patents] . . Heat treatment
503[Patents] . Fluid growth from gaseous state combined with preceding diverse operation
504[Patents] . . Differential etching
505[Patents] . . Doping of semiconductor
506[Patents] . . . Ion implantation
507[Patents] . Fluid growth from gaseous state combined with subsequent diverse operation
508[Patents] . . Doping of semiconductor
509[Patents] . . Heat treatment
510[Patents]INTRODUCTION OF CONDUCTIVITY MODIFYING DOPANT INTO SEMICONDUCTIVE MATERIAL
511[Patents] . Ordering or disordering
512[Patents] . Involving nuclear transmutation doping
513[Patents] . Plasma (e.g., glow discharge, etc.)
514[Patents] . Ion implantation of dopant into semiconductor region
515[Patents] . . Ionized molecules
516[Patents] . . Including charge neutralization
517[Patents] . . Of semiconductor layer on insulating substrate or layer
518[Patents] . . Of compound semiconductor
519[Patents] . . . Including multiple implantation steps
520[Patents] . . . . Providing nondopant ion (e.g., proton, etc.)
521[Patents] . . . . Using same conductivity-type dopant
522[Patents] . . . Including heat treatment
523[Patents] . . . And contact formation (i.e., metallization)
524[Patents] . . Into grooved semiconductor substrate region
525[Patents] . . Using oblique beam
526[Patents] . . Forming buried region
527[Patents] . . Including multiple implantation steps
528[Patents] . . . Providing nondopant ion (e.g., proton, etc.)
529[Patents] . . . Using same conductivity-type dopant
530[Patents] . . Including heat treatment
531[Patents] . . Using shadow mask
532[Patents] . . Into polycrystalline region
533[Patents] . . And contact formation (i.e., metallization)
534[Patents] . . . Rectifying contact (i.e., Schottky contact)
535[Patents] . By application of corpuscular or electromagnetic radiation (e.g., electron, laser, etc.)
536[Patents] . . Recoil implantation
537[Patents] . Fusing dopant with substrate (i.e., alloy junction)
538[Patents] . . Using additional material to improve wettability or flow characteristics (e.g., flux, etc.)
539[Patents] . . Application of pressure to material during fusion
540[Patents] . . Including plural controlled heating or cooling steps or nonuniform heating
541[Patents] . . . Including diffusion after fusing step
542[Patents] . Diffusing a dopant
543[Patents] . . To control carrier lifetime (i.e., deep level dopant)
544[Patents] . . To solid-state solubility concentration
545[Patents] . . Forming partially overlapping regions
546[Patents] . . Plural dopants in same region (e.g., through same mask opening, etc.)
547[Patents] . . . Simultaneously
548[Patents] . . Plural dopants simultaneously in plural regions
549[Patents] . . Single dopant forming plural diverse regions (e.g., forming regions of different concentrations or of different depths, etc.)
550[Patents] . . Nonuniform heating
551[Patents] . . Using multiple layered mask
552[Patents] . . . Having plural predetermined openings in master mask
553[Patents] . . Using metal mask
554[Patents] . . Outwardly
555[Patents] . . Laterally under mask opening
556[Patents] . . Edge diffusion by using edge portion of structure other than masking layer to mask
557[Patents] . . From melt
558[Patents] . . From solid dopant source in contact with semiconductor region
559[Patents] . . . Using capping layer over dopant source to prevent out-diffusion of dopant
560[Patents] . . . Plural diffusion stages
561[Patents] . . . Dopant source within trench or groove
562[Patents] . . . Organic source
563[Patents] . . . Glassy source or doped oxide
564[Patents] . . . Polycrystalline semiconductor source
565[Patents] . . From vapor phase
566[Patents] . . . Plural diffusion stages
567[Patents] . . . Solid source in operative relation with semiconductor region
568[Patents] . . . . In capsule-type enclosure
569[Patents] . . . Into compound semiconductor region
570[Patents]FORMING SCHOTTKY JUNCTION (I.E., SEMICONDUCTOR-CONDUCTOR RECTIFYING JUNCTION CONTACT)
571[Patents] . Combined with formation of ohmic contact to semiconductor region
572[Patents] . Compound semiconductor
573[Patents] . . Multilayer electrode
574[Patents] . . . T-shaped electrode
575[Patents] . . . Using platinum group metal (i.e., platinum (Pt), palladium (Pd), rodium (Rh), ruthenium (Ru), iridium (Ir), osmium (Os), or alloy thereof)
576[Patents] . . Into grooved or recessed semiconductor region
577[Patents] . . . Utilizing lift-off
578[Patents] . . . Forming electrode of specified shape (e.g., slanted, etc.)
579[Patents] . . . . T-shaped electrode
580[Patents] . Using platinum group metal (i.e., platinum (Pt), palladium (Pd), rhodium (Rh), ruthenium (Ru), iridium (Ir), osmium (Os), or alloy thereof)
581[Patents] . . Silicide
582[Patents] . Using refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof)
583[Patents] . . Silicide
584[Patents]COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL
585[Patents] . Insulated gate formation
586[Patents] . . Combined with formation of ohmic contact to semiconductor region
587[Patents] . . Forming array of gate electrodes
588[Patents] . . . Plural gate levels
589[Patents] . . Recessed into semiconductor substrate
590[Patents] . . Compound semiconductor
591[Patents] . . Gate insulator structure constructed of plural layers or nonsilicon containing compound
592[Patents] . . Possessing plural conductive layers (e.g., polycide)
593[Patents] . . . Separated by insulator (i.e., floating gate)
594[Patents] . . . . Tunnelling dielectric layer
595[Patents] . . Having sidewall structure
596[Patents] . . . Portion of sidewall structure is conductive
597[Patents] . To form ohmic contact to semiconductive material
598[Patents] . . Selectively interconnecting (e.g., customization, wafer scale integration, etc.)
599[Patents] . . . With electrical circuit layout
600[Patents] . . . Using structure alterable to conductive state (i.e., antifuse)
601[Patents] . . . Using structure alterable to nonconductive state (i.e., fuse)
602[Patents] . . To compound semiconductor
603[Patents] . . . II-VI compound semiconductor
604[Patents] . . . III-V compound semiconductor
605[Patents] . . . . Multilayer electrode
606[Patents] . . . . Ga and As containing semiconductor
607[Patents] . . With epitaxial conductor formation
608[Patents] . . Oxidic conductor (e.g., indium tin oxide, etc.)
609[Patents] . . . Transparent conductor
610[Patents] . . Conductive macromolecular conductor (including metal powder filled composition)
611[Patents] . . Beam lead formation
612[Patents] . . Forming solder contact or bonding pad
613[Patents] . . . Bump electrode
614[Patents] . . . . Plural conductive layers
615[Patents] . . . . Including fusion of conductor
616[Patents] . . . . . By transcription from auxiliary substrate
617[Patents] . . . . . By wire bonding
618[Patents] . . Contacting multiple semiconductive regions (i.e., interconnects)
619[Patents] . . . Air bridge structure
620[Patents] . . . Forming contacts of differing depths into semiconductor substrate
621[Patents] . . . Contacting diversely doped semiconductive regions (e.g., p-type and n-type regions, etc.)
622[Patents] . . . Multiple metal levels, separated by insulating layer (i.e., multiple level metallization)
623[Patents] . . . . Including organic insulating material between metal levels
624[Patents] . . . . Separating insulating layer is laminate or composite of plural insulating materials
625[Patents] . . . . At least one metallization level formed of diverse conductive layers
626[Patents] . . . . . Planarization
627[Patents] . . . . . At least one layer forms a diffusion barrier
628[Patents] . . . . . Having adhesion promoting layer
629[Patents] . . . . . Diverse conductive layers limited to viahole/plug
630[Patents] . . . . . . Silicide formation
631[Patents] . . . . Having planarization step
632[Patents] . . . . . Utilizing reflow
633[Patents] . . . . . Simultaneously by chemical and mechanical means
634[Patents] . . . . . Utilizing etch-stop layer
635[Patents] . . . . Insulator formed by reaction with conductor (e.g., oxidation, etc.)
636[Patents] . . . . Including use of antireflective layer
637[Patents] . . . . With formation of opening (i.e., viahole) in insulative layer
638[Patents] . . . . . Having viaholes of diverse width
639[Patents] . . . . . Having viahole with sidewall component
640[Patents] . . . . . Having viahole of tapered shape
641[Patents] . . . . Selective deposition
642[Patents] . . . Diverse conductors
643[Patents] . . . . At least one layer forms a diffusion barrier
644[Patents] . . . . Having adhesion promoting layer
645[Patents] . . . . Having planarization step
646[Patents] . . . . . Utilizing reflow
647[Patents] . . . . Having electrically conductive polysilicon component
648[Patents] . . . . Having refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof)
649[Patents] . . . . . Silicide
650[Patents] . . . . Having noble group metal (i.e., silver (Ag), gold (Au), platinum (Pt), palladium (Pd), rhodium (Rh), ruthenium (Ru), iridium (Ir), osmium (Os), or alloy thereof)
651[Patents] . . . . . Silicide
652[Patents] . . Plural layered electrode or conductor
653[Patents] . . . At least one layer forms a diffusion barrier
654[Patents] . . . Having adhesion promoting layer
655[Patents] . . . Silicide
656[Patents] . . . Having refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof)
657[Patents] . . . Having electrically conductive polysilicon component
658[Patents] . . Altering composition of conductor
659[Patents] . . . Implantation of ion into conductor
660[Patents] . . Including heat treatment of conductive layer
661[Patents] . . . Subsequent fusing conductive layer
662[Patents] . . . . Utilizing laser
663[Patents] . . . Rapid thermal anneal
664[Patents] . . . . Forming silicide
665[Patents] . . Utilizing textured surface
666[Patents] . . Specified configuration of electrode or contact
667[Patents] . . . Conductive feedthrough or through-hole in substrate
668[Patents] . . . Specified aspect ratio of conductor or viahole
669[Patents] . . And patterning of conductive layer
670[Patents] . . . Utilizing lift-off
671[Patents] . . . Utilizing multilayered mask
672[Patents] . . . Plug formation (i.e., in viahole)
673[Patents] . . . Tapered etching
674[Patents] . . Selective deposition of conductive layer
675[Patents] . . . Plug formation (i.e., in viahole)
676[Patents] . . . Utilizing electromagnetic or wave energy
677[Patents] . . . Pretreatment of surface to enhance or retard deposition
678[Patents] . . Electroless deposition of conductive layer
679[Patents] . . Evaporative coating of conductive layer
680[Patents] . . Utilizing chemical vapor deposition (i.e., CVD)
681[Patents] . . . Of organo-metallic precursor (i.e., MOCVD)
682[Patents] . . Silicide
683[Patents] . . . Of refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof)
684[Patents] . . Electrically conductive polysilicon
685[Patents] . . Refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof)
686[Patents] . . Noble group metal (i.e., silver (Ag), gold (Au), platinum (Pt), palladium (Pd), rhodium (Rh), ruthenium (Ru), iridium (Ir), osmium (Os), or alloy thereof)
687[Patents] . . Copper of copper alloy conductor
688[Patents] . . Aluminum or aluminum alloy conductor
689[Patents]CHEMICAL ETCHING
690[Patents] . Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.)
691[Patents] . . Combined mechanical and chemical material removal
692[Patents] . . . Simultaneous (e.g., chemical-mechanical polishing, etc.)
693[Patents] . . . . Utilizing particulate abradant
694[Patents] . Combined with coating step
695[Patents] . . Simultaneous etching and coating
696[Patents] . . Coating of sidewall
697[Patents] . . Planarization by etching and coating
698[Patents] . . . Utilizing reflow
699[Patents] . . . Plural coating steps
700[Patents] . . Formation of groove or trench
701[Patents] . . . Tapered configuration
702[Patents] . . . Plural coating steps
703[Patents] . . Plural coating steps
704[Patents] . Having liquid and vapor etching steps
705[Patents] . Altering etchability of substrate region by compositional or crystalline modification
706[Patents] . Vapor phase etching (i.e., dry etching)
707[Patents] . . Utilizing electromagnetic or wave energy
708[Patents] . . . Photo-induced etching
709[Patents] . . . . Photo-induced plasma etching
710[Patents] . . . By creating electric field (e.g., plasma, glow discharge, etc.)
711[Patents] . . . . Utilizing multiple gas energizing means
712[Patents] . . . . Reactive ion beam etching (i.e., RIBE)
713[Patents] . . . . Forming tapered profile (e.g., tapered etching, etc.)
714[Patents] . . . . Including change in etch influencing parameter (e.g., energizing power, etchant composition, temperature, etc.)
715[Patents] . . . . With substrate heating or cooling
716[Patents] . . . . With substrate handling (e.g., conveying, etc.)
717[Patents] . . . . Utilizing multilayered mask
718[Patents] . . . . Compound semiconductor
719[Patents] . . . . Silicon
720[Patents] . . . . Electrically conductive material (e.g., metal, conductive oxide, etc.)
721[Patents] . . . . . Silicide
722[Patents] . . . . Metal oxide
723[Patents] . . . . Silicon oxide or glass
724[Patents] . . . . Silicon nitride
725[Patents] . . . . Organic material (e.g., resist, etc.)
726[Patents] . . . . Having microwave gas energizing
727[Patents] . . . . . Producing energized gas remotely located from substrate
728[Patents] . . . . . . Using magnet (e.g., electron cyclotron resonance, etc.)
729[Patents] . . . . Using specified electrode/susceptor configuration (e.g., of multiple substrates using barrel-type susceptor, planar reactor configuration, etc.) to generate plasma
730[Patents] . . . . . Producing energized gas remotely located from substrate
731[Patents] . . . . . . Using intervening shield structure
732[Patents] . . . . Using magnet (e.g., electron cyclotron resonance, etc.)
733[Patents] . . . Using or orientation dependent etchant (i.e., anisotropic etchant)
734[Patents] . . Sequential etching steps on a single layer
735[Patents] . . Differential etching of semiconductor substrate
736[Patents] . . . Utilizing multilayered mask
737[Patents] . . . Substrate possessing multiple layers
738[Patents] . . . . Selectively etching substrate possessing multiple layers of differing etch characteristics
739[Patents] . . . . . Lateral etching of intermediate layer (i.e., undercutting)
740[Patents] . . . . . Utilizing etch stop layer
741[Patents] . . . . . . PN junction functions as etch stop
742[Patents] . . . . Electrically conductive material (e.g., metal, conductive oxide, etc.)
743[Patents] . . . . Silicon oxide or glass
744[Patents] . . . . Silicon nitride
745[Patents] . Liquid phase etching
746[Patents] . . Utilizing electromagnetic or wave energy
747[Patents] . . With relative movement between substrate and confined pool of etchant
748[Patents] . . Projection of etchant against a moving substrate or controlling the angle or pattern of projected etchant
749[Patents] . . Sequential application of etchant
750[Patents] . . . To same side of substrate
751[Patents] . . . . Each etch step exposes surface of an adjacent layer
752[Patents] . . Germanium
753[Patents] . . Silicon
754[Patents] . . Electrically conductive material (e.g., metal, conductive oxide, etc.)
755[Patents] . . . Silicide
756[Patents] . . Silicon oxide
757[Patents] . . Silicon nitride
758[Patents]COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE
759[Patents] . Combined with the removal of material by nonchemical means
760[Patents] . Utilizing reflow (e.g., planarization, etc.)
761[Patents] . Multiple layers
762[Patents] . . At least one layer formed by reaction with substrate
763[Patents] . . Layers formed of diverse composition or by diverse coating processes
764[Patents] . Formation of semi-insulative polycrystalline silicon
765[Patents] . By reaction with substrate
766[Patents] . . Implantation of ion (e.g., to form ion amorphousized region prior to selective oxidation, reacting with substrate to form insulative region, etc.)
767[Patents] . . Compound semiconductor substrate
768[Patents] . . Reaction with conductive region
769[Patents] . . Reaction with silicon semiconductive region (e.g., oxynitride formation, etc.)
770[Patents] . . . Oxidation
771[Patents] . . . . Using electromagnetic or wave energy
772[Patents] . . . . . Microwave gas energizing
773[Patents] . . . . In atmosphere containing water vapor (i.e., wet oxidation)
774[Patents] . . . . In atmosphere containing halogen
775[Patents] . . . Nitridation
776[Patents] . . . . Using electromagnetic or wave energy
777[Patents] . . . . . Microwave gas energizing
778[Patents] . Insulative material deposited upon semiconductive substrate
779[Patents] . . Compound semiconductor substrate
780[Patents] . . Depositing organic material (e.g., polymer, etc.)
781[Patents] . . . Subsequent heating modifying organic coating composition
782[Patents] . . With substrate handling during coating (e.g., immersion, spinning, etc.)
783[Patents] . . Insulative material having impurity (e.g., for altering physical characteristics, etc.)
784[Patents] . . . Introduction simultaneous with deposition
785[Patents] . . Insulative material is compound of refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof)
786[Patents] . . Tertiary silicon containing compound formation (e.g., oxynitride formation, etc.)
787[Patents] . . Silicon oxide formation
788[Patents] . . . Using electromagnetic or wave energy (e.g., photo-induced deposition, plasma, etc.)
789[Patents] . . . . Organic reactant
790[Patents] . . . Organic reactant
791[Patents] . . Silicon nitride formation
792[Patents] . . . Utilizing electromagnetic or wave energy (e.g., photo-induced deposition, plasma, etc.)
793[Patents] . . . . Organic reactant
794[Patents] . . . Organic reactant
795[Patents]RADIATION OR ENERGY TREATMENT MODIFYING PROPERTIES OF SEMICONDUCTOR REGION OF SUBSTRATE (E.G., THERMAL, CORPUSCULAR, ELECTROMAGNETIC, ETC.)
796[Patents] . Compound semiconductor
797[Patents] . . Ordering or disordering
798[Patents] . Ionized irradiation (e.g., corpuscular or plasma treatment, etc.)
799[Patents] . By differential heating
800[Patents]MISCELLANEOUS
*********************************
CROSS-REFERENCE ART COLLECTIONS
**********************************
900[Patents]BULK EFFECT DEVICE MAKING
901[Patents]CAPACITIVE JUNCTION
902[Patents]CAPPING LAYER
903[Patents]CATALYST AIDED DEPOSITION
904[Patents]CHARGE CARRIER LIFETIME CONTROL
905[Patents]CLEANING OF REACTION CHAMBER
906[Patents]CLEANING OF WAFER AS INTERIM STEP
907[Patents]CONTINUOUS PROCESSING
908[Patents] . Utilizing cluster apparatus
909[Patents]CONTROLLED ATMOSPHERE
910[Patents]CONTROLLING CHARGING STATE AT SEMICONDUCTOR-INSULATOR INTERFACE
911[Patents]DIFFERENTIAL OXIDATION AND ETCHING
912[Patents]DISPLACING PN JUNCTION
913[Patents]DIVERSE TREATMENTS PERFORMED IN UNITARY CHAMBER
914[Patents]DOPING
915[Patents] . Amphoteric doping
916[Patents] . Autodoping control or utilization
917[Patents] . Deep level dopants (e.g., gold (Au), chromium (Cr), iron (Fe), nickel (Ni), etc.)
918[Patents] . Special or nonstandard dopant
919[Patents] . Compensation doping
920[Patents] . Controlling diffusion profile by oxidation
921[Patents] . Nonselective diffusion
922[Patents] . Diffusion along grain boundaries
923[Patents] . Diffusion through a layer
924[Patents] . To facilitate selective etching
925[Patents] . Fluid growth doping control (e.g., delta doping, etc.)
926[Patents]DUMMY METALLIZATION
927[Patents]ELECTROMIGRATION RESISTANT METALLIZATION
928[Patents]FRONT AND REAR SURFACE PROCESSING
929[Patents]EUTECTIC SEMICONDUCTOR
930[Patents]TERNARY OR QUATERNARY SEMICONDUCTOR COMPRISED OF ELEMENTS FROM THREE DIFFERENT GROUPS (E.G., I-III-V, ETC.)
931[Patents]SILICON CARBIDE SEMICONDUCTOR
932[Patents]BORON NITRIDE SEMICONDUCTOR
933[Patents]GERMANIUM OR SILICON OR GE-SI ON III-V
934[Patents]SHEET RESISTANCE (I.E., DOPANT PARAMETERS)
935[Patents]GAS FLOW CONTROL
936[Patents]GRADED ENERGY GAP
937[Patents]HILLOCK PREVENTION
938[Patents]LATTICE STRAIN CONTROL OR UTILIZATION
939[Patents]LANGMUIR-BLODGETT FILM UTILIZATION
940[Patents]LASER ABLATIVE MATERIAL REMOVAL
941[Patents]LOADING EFFECT MITIGATION
942[Patents]MASKING
943[Patents] . Movable
944[Patents] . Shadow
945[Patents] . Special (e.g., metal, etc.)
946[Patents] . Step and repeat
947[Patents] . Subphotolithographic processing
948[Patents] . Radiation resist
949[Patents] . . Energy beam treating radiation resist on semiconductor
950[Patents] . . Multilayer mask including nonradiation sensitive layer
951[Patents] . . Lift-off
952[Patents] . . Utilizing antireflective layer
953[Patents]MAKING RADIATION RESISTANT DEVICE
954[Patents]MAKING OXIDE-NITRIDE-OXIDE DEVICE
955[Patents]MELT-BACK
956[Patents]MAKING MULTIPLE WAVELENGTH EMISSIVE DEVICE
957[Patents]MAKING METAL-INSULATOR-METAL DEVICE
958[Patents]PASSIVATION LAYER
959[Patents]MECHANICAL POLISHING OF WAFER
960[Patents]POROUS SEMICONDUCTOR
961[Patents]ION BEAM SOURCE AND GENERATION
962[Patents]QUANTUM DOTS AND LINES
963[Patents]REMOVING PROCESS RESIDUES FROM VERTICAL SUBSTRATE SURFACES
964[Patents]ROUGHENED SURFACE
965[Patents]SHAPED JUNCTION FORMATION
966[Patents]SELECTIVE OXIDATION OF ION-AMORPHOUSIZED LAYER
967[Patents]SEMICONDUCTOR ON SPECIFIED INSULATOR
968[Patents]SEMICONDUCTOR-METAL-SEMICONDUCTOR
969[Patents]SIMULTANEOUS FORMATION OF MONOCRYSTALLINE AND POLYCRYSTALLINE REGIONS
970[Patents]SPECIFIED ETCH STOP MATERIAL
971[Patents]STOICHIOMETRIC CONTROL OF HOST SUBSTRATE COMPOSITION
972[Patents]STORED CHARGE ERASURE
973[Patents]SUBSTRATE ORIENTATION
974[Patents]SUBSTRATE SURFACE PREPARATION
975[Patents]SUBSTRATE OR MASK ALIGNING FEATURE
976[Patents]TEMPORARY PROTECTIVE LAYER
977[Patents]THINNING OR REMOVAL OF SUBSTRATE
978[Patents]FORMING TAPERED EDGES ON SUBSTRATE OR ADJACENT LAYERS
979[Patents]TUNNEL DIODES
980[Patents]UTILIZING PROCESS EQUIVALENTS OR OPTIONS
981[Patents]UTILIZING VARYING DIELECTRIC THICKNESS
982[Patents]VARYING ORIENTATION OF DEVICES IN ARRAY
983[Patents]ZENER DIODES
*********************************
FOREIGN ART COLLECTIONS
*********************************
Any foreign patents or non-patent literature from subclasses that have been reclassified have been transferred directly to FOR Collection listed below. These collections contain ONLY foreign patents or nonpatent literature. The parenthetical references in the Collection titles refer to the abolished subclasses from which these Collections were derived.
METHODS (156/1)
FOR 100 . Etching of semiconductor precursor, substrates, and devices used in an electrical function (156/625.1)
FOR 101 . . Measuring, testing, or inspecting (156/626.1)
FOR 102 . . . By electrical means or of electrical property (156/627.1)
FOR 103 . . Altering the etchability of a substrate by alloying, diffusing, or chemical reacting (156/628.1)
FOR 104 . . With uniting of preforms (e.g., laminating, etc.) (156/629.1)
FOR 105 . . . Prior to etching (156/630.1)
FOR 106 . . . . Delamination subsequent to etching (156/631.1)
FOR 107 . . . . With coating (156/632.1)
FOR 108 . . . Differential etching (156/633.1)
FOR 109 . . . . Metal layer etched (156/634.1)
FOR 110 . . With in situ activation or combining of etching components on surface (156/635.1)
FOR 111 . . With thin film of etchant between relatively moving substrate and conforming surface (e.g., chemical lapping, etc.) (156/636.1)
FOR 112 . . With relative movement between the substrate and a confined pool of etchant (156/637.1)
FOR 113 . . . With removal of adhered reaction product from substrate (156/638.1)
FOR 114 . . . With substrate rotation, repeated dipping, or advanced movement (156/639.1)
FOR 115 . . Projection of etchant against a moving substrate or controlling the angle or pattern of projected etchant (156/640.1)
FOR 116 . . Recycling or regenerating etchant (156/642.1)
FOR 117 . . With treatment by high energy radiation or plasma (e.g., ion beam, etc.) (156/643.1)
FOR 118 . . Forming or increasing the size of an aperture (156/644.1)
FOR 119 . . With mechanical deformation, severing, or abrading of a substrate (156/ 645.1)
FOR 120 . . Etchant is a gas (156/646.1)
FOR 121 . . Etching according to crystalline planes (156/647.1)
FOR 122 . . Etching isolates or modifies a junction in a barrier layer (156/648.1)
FOR 123 . . . Discrete junction isolated (e.g., mesa formation, etc.) (156/649.1)
FOR 124 . . Sequential application of etchant material (156/650.1)
FOR 125 . . . Sequentially etching the same surface of a substrate (156/651.1)
FOR 126 . . . . Each etching exposes surface of an adjacent layer (156/652.1)
FOR 127 . . . . . Etched layer contains silicon (e.g., oxide, nitride, etc.) (156/653.1)
FOR 128 . . Differential etching of a substrate (156/654.1)
FOR 129 . . . Composite substrate (156/655.1)
FOR 130 . . . . Substrate contains metallic element or compound (156/656.1)
FOR 131 . . . . Substrate contains silicon or silicon compound (156/657.1)
FOR 132 . . . Resist coating (156/659.11)
FOR 133 . . . . Plural resist coating (156/661.11)
FOR 134 . . Silicon, germanium, or gallium containing substrate (156/662.1)
FOR 135MAKING DEVICE HAVING ORGANIC SEMICONDUCTOR COMPONENT (437/1)
FOR 136MAKING DEVICE RESPONSIVE TO RADIATION (437/2)
FOR 137 . Radiation detectors, e.g., infrared, etc. (437/3)
FOR 138 . Composed of polycrystalline material (437/4)
FOR 139 . Having semiconductor compound (437/5)
FOR 140MAKING THYRISTOR, E.G., DIAC, TRIAC, ETC. (437/6)
FOR 141INCLUDING CONTROL RESPONSIVE TO SENSED CONDITION (437/7)
FOR 142INCLUDING TESTING OR MEASURING (437/8)
FOR 143INCLUDING APPLICATION OF VIBRATORY FORCE (437/9)
FOR 144INCLUDING GETTERING (437/10)
FOR 145 . By ion implanting or irradiating (437/11)
FOR 146 . By layers which are coated, contacted, or diffused (437/12)
FOR 147 . By vapor phase surface reaction (437/13)
FOR 148THERMOMIGRATION (437/14)
FOR 149INCLUDING FORMING A SEMICONDUCTOR JUNCTION (437/15)
FOR 150 . Using energy beam to introduce dopant or modify dopant distribution (437/ 16)
FOR 151 . . Neutron, gamma ray or electron beam (437/17)
FOR 152 . . Ionized molecules (437/18)
FOR 153 . . Coherent light beam (437/19)
FOR 154 . . Ion beam implantation (437/20)
FOR 155 . . Of semiconductor on insulating substrate (437/21)
FOR 156 . . . Of semiconductor compound (437/22)
FOR 157 . . . . Light emitting diode (LED) (437/23)
FOR 158 . . . Providing nondopant ion including proton (437/24)
FOR 159 . . . Providing auxiliary heating (437/25)
FOR 160 . . . Forming buried region (437/26)
FOR 161 . . . Including multiple implantations of same region (437/27)
FOR 162 . . . . Through insulating layer (437/28)
FOR 163 . . . . . Forming field effect transistor (FET) type device (437/29)
FOR 164 . . . . Using same conductivity type dopant (437/30)
FOR 165 . . . . Forming bipolar transistor (NPN/PNP) (437/31)
FOR 166 . . . . . Lateral bipolar transistor (437/32)
FOR 167 . . . . . Having dielectric isolation (437/33)
FOR 168 . . . . Forming complementary MOS (metal oxide semiconductor) (437/34)
FOR 169 . . . Using oblique beam (437/35)
FOR 170 . . . Using shadow mask (437/36)
FOR 171 . . . Having projected range less than thickness of dielectrics on substrate (437/37)
FOR 172 . . . Into shaped or grooved semiconductor substrate (437/38)
FOR 173 . . . Involving Schottky contact formation (437/39)
FOR 202 . . . . Gate structure constructed of diverse dielectrics (437/42)
FOR 203 . . . . . Gate surrounded by dielectric layer, e.g., floating gate, etc. (437/43)
FOR 204 . . . . . Adjusting channel dimension (437/44)
FOR 205 . . . . . Active step for controlling threshold voltage (437/45)
FOR 185 . . . . . Self-aligned (437/41 R)
FOR 186 . . . . . With bipolar (437/41 RBP)
FOR 187 . . . . . CMOS (437/41 RCM)
FOR 188 . . . . . Lightly doped drain (437/41 RLD)
FOR 189 . . . . . Memory devices (437/41 RMM)
FOR 190 . . . . . Asymmetrical FET (437/41 AS)
FOR 191 . . . . . Channel specifics (437/41 CS)
FOR 192 . . . . . DMOS/vertical FET (437/41 DM)
FOR 193 . . . . . Gate specifics (437/41 GS)
FOR 194 . . . . . Junction FET/static induction transistor (437/41 JF)
FOR 195 . . . . . Layered channel (437/41 LC)
FOR 196 . . . . . Specifics of metallization/contact (437/41 SM)
FOR 197 . . . . . Recessed gate (Schottky falls below in SH) (437/41 RG)
FOR 198 . . . . . Schottky gate/MESFET (437/41 SH)
FOR 199 . . . . . Sidewall (437/41 SW)
FOR 200 . . . . . Thin film transistor, inverted (437/41 TFI)
FOR 201 . . . . . Thin film transistor (437/41 TFT)
FOR 174 . . . . Forming pair of device regions separated by gate structure, i.e., FET (437/40 R)
FOR 175 . . . . Asymmetrical FET (any asymmetry in S/D profile, gate spacing, etc.) (437/40 AS)
FOR 176 . . . . DMOS/vertical FET (437/40 DM)
FOR 177 . . . . Gate specific (specifics of gate insulator/structure/material/ contact) (437/40 GS)
FOR 178 . . . . Junction FET/static induction transistor (437/40 JF)
FOR 179 . . . . Layered channel (e.g., HEMT, MODFET, 2DEG, heterostructure FETS) (437/40 LC)
FOR 180 . . . . Recessed gate (437/40 RG)
FOR 181 . . . . Schottky gate/MESFET (controls over RG) (437/40 SH)
FOR 182 . . . . Sidewall (not LDD`s) (437/40 SW)
FOR 183 . . . . Thin film transistor inverted/staggered (437/40 TFI)
FOR 184 . . . . Thin film transistor (437/40 TFT)
FOR 206 . . . Into polycrystalline or polyamorphous regions (437/46)
FOR 207 . . . Integrating active with passive devices (437/47)
FOR 208 . . . Forming plural active devices in grid/array, e.g., RAMS/ROMS, etc. (437/48)
FOR 209 . . . . Having multiple-level electrodes (437/49)
FOR 210 . . . Forming electrodes in laterally spaced relationships (437/50)
FOR 211 . Making assemblies of plural individual devices having community feature, e.g., integrated circuit, electrical connection, etc. (437/51)
FOR 212 . . Memory devices (437/52)
FOR 213 . . Charge coupled devices (CCD) (437/53)
FOR 214 . . Diverse types (437/54)
FOR 215 . . . Integrated injection logic (I2L) circuits (437/55)
FOR 216 . . . Plural field effect transistors (CMOS) (437/56)
FOR 217 . . . . Complementary metal oxide having diverse conductivity source and drain regions (437/57)
FOR 218 . . . . Having like conductivity source and drain regions (437/58)
FOR 219 . . . Including field effect transistor (437/59)
FOR 220 . . . Including passive device (437/60)
FOR 221 . Including isolation step (437/61)
FOR 222 . . By forming total dielectric isolation (437/62)
FOR 223 . . By forming vertical isolation combining dielectric and PN junction (437/63)
FOR 224 . . Using vertical dielectric (air-gap/insulator) and horizontal PN junction (437/64)
FOR 225 . . . Grooved air-gap only (437/65)
FOR 226 . . . . V-groove (437/66)
FOR 227 . . . Grooved and refilled with insulator (437/67)
FOR 228 . . . . V-groove (437/68)
FOR 229 . . . Recessed oxide by localized oxidation (437/69)
FOR 230 . . . . Preliminary formation of guard ring (437/70)
FOR 231 . . . . Preliminary anodizing (437/71)
FOR 232 . . . . Preliminary etching of groove (437/72)
FOR 233 . . . . . Using overhanging oxidation mask and pretreatment of recessed walls (437/ 73)
FOR 234 . . Isolation by PN junction only (437/74)
FOR 235 . . . By diffusion from upper surface only (437/75)
FOR 236 . . . By up-diffusion from substrate region and down diffusion into upper surface layer (437/76)
FOR 237 . . . . Substrate and epitaxial regions of same conductivity type, i.e., P or N (437/77)
FOR 238 . . . By etching and refilling with semiconductor material having diverse conductivity (437/78)
FOR 239 . . . Using polycrystalline region (437/79)
FOR 240 . Shadow masking (437/80)
FOR 241 . Doping during fluid growth of semiconductor material on substrate (437/81)
FOR 242 . . Including heat to anneal (437/82)
FOR 243 . . Growing single crystal on amorphous substrate (437/83)
FOR 244 . . Growing single crystal on single crystal insulator (SOS) (437/84)
FOR 245 . . Including purifying stage during growth (437/85)
FOR 246 . . Using transitory substrate (437/86)
FOR 247 . . Using inert atmosphere (437/87)
FOR 248 . . Using catalyst to alter growth process (437/88)
FOR 249 . . Growth through opening (437/89)
FOR 250 . . . Forming recess in substrate and refilling (437/90)
FOR 251 . . . . By liquid phase epitaxy (437/91)
FOR 252 . . . By liquid phase epitaxy (437/92)
FOR 253 . . Specified crystal orientation other than (100) or (111) planes (437/93)
FOR 254 . . Introducing minority carrier life time reducing dopant during growth, i.e., deep level dopant Au (Gold), Cr (Cromium), Fe (Iron), Ni (Nickel), etc. (437/94)
FOR 255 . . Autodoping control (437/95)
FOR 256 . . . Compound formed from Group III and Group V elements (437/96)
FOR 257 . . Forming buried regions with outdiffusion control (437/97)
FOR 258 . . . Plural dopants simultaneously outdiffusioned (437/98)
FOR 259 . . Growing mono and polycrystalline regions simultaneously (437/99)
FOR 260 . . Growing silicon carbide (SiC) (437/100)
FOR 261 . . Growing amorphous semiconductor material (437/101)
FOR 262 . . Source and substrate in close-space relationship (437/102)
FOR 263 . . . Group IV elements (437/103)
FOR 264 . . . Compound formed from Group III and Group V elements (437/104)
FOR 265 . . Vacuum growing using molecular beam, i.e., vacuum deposition (437/105)
FOR 266 . . . Group IV elements (437/106)
FOR 267 . . . Compound formed from Group III and Group V elements (437/107)
FOR 268 . . Growing single layer in multi-steps (437/108)
FOR 269 . . . Polycrystalline layers (437/109)
FOR 270 . . . Using modulated dopants or materials, e.g., superlattice, etc. (437/110)
FOR 271 . . . Using preliminary or intermediate metal layer (437/111)
FOR 272 . . . Growing by varying rates (437/112)
FOR 273 . . Using electric current, e.g., Peltier effect, glow discharge, etc. (437/ 113)
FOR 274 . . Using seed in liquid phase (437/114)
FOR 275 . . . Pulling from melt (437/115)
FOR 276 . . . . And diffusing (437/116)
FOR 277 . . Liquid and vapor phase epitaxy in sequence (437/117)
FOR 278 . . Involving capillary action (437/118)
FOR 279 . . Sliding liquid phase epitaxy (437/119)
FOR 280 . . . Modifying melt composition (437/120)
FOR 281 . . . Controlling volume or thickness of growth (437/121)
FOR 282 . . . Preliminary dissolving substrate surface (437/122)
FOR 283 . . . With nonlinear slide movement (437/123)
FOR 284 . . . One melt simultaneously contacting plural substrates (437/124)
FOR 285 . . Tipping liquid phase epitaxy (437/125)
FOR 286 . . Heteroepitaxy (437/126)
FOR 287 . . . Multi-color light emitting diode (LED) (437/127)
FOR 288 . . . Graded composition (437/128)
FOR 289 . . . Forming laser (437/129)
FOR 290 . . . By liquid phase epitaxy (437/130)
FOR 291 . . . Si (Silicon on Ge (Germanium) or Ge (Germanium) on Si (Silicon) (437/131)
FOR 292 . . . Either Si (Silicon) or Ge (Germanium) layered with or on compound formed from Group III and Group V elements (437/132)
FOR 293 . . . Compound formed from Group III and Group V elements on diverse Group III and Group V including substituted Group III and Group V compounds (437/133)
FOR 294 . By fusing dopant with substrate, e.g., alloying, etc. (437/134)
FOR 295 . . Using flux (437/135)
FOR 296 . . Passing electric current through material (437/136)
FOR 297 . . With application of pressure to material during fusing (437/137)
FOR 298 . . Including plural controlled heating or cooling steps (437/138)
FOR 299 . . Including diffusion after fusion step (437/139)
FOR 300 . . Including additional material to improve wettability or flow characteristics (437/140)
FOR 301 . Diffusing a dopant (437/141)
FOR 302 . . To control carrier lifetime, i.e., deep level dopant Au (Gold), Cr (Chromium), Fe (Iron), Ni (Nickel), etc. (437/142)
FOR 303 . . Al (Aluminum) dopant (437/143)
FOR 304 . . Li (Lithium) dopant (437/144)
FOR 305 . . Including nonuniform heating (437/145)
FOR 306 . . To solid state solubility concentration (437/146)
FOR 307 . . Using multiple layered mask (437/147)
FOR 308 . . . Having plural predetermined openings in master mask (437/148)
FOR 309 . . Forming partially overlapping regions (437/149)
FOR 310 . . Plural dopants in same region, e.g., through same mask opening, etc. (437/150)
FOR 311 . . . Simultaneously (437/151)
FOR 312 . . Plural dopants simultaneously in plural region (437/152)
FOR 313 . . Single dopant forming plural diverse regions (437/153)
FOR 314 . . . Forming regions of different concentrations or different depths (437/154)
FOR 315 . . Using metal mask (437/155)
FOR 316 . . Outwardly (437/156)
FOR 317 . . Laterally under mask (437/157)
FOR 318 . . Edge diffusion by using edge portion of structure other than masking layer to mask (437/158)
FOR 319 . . From melt (437/159)
FOR 320 . . From solid dopant source in contact with substrate (437/160)
FOR 321 . . . Using capping layer over dopant source to prevent outdiffusion of dopant (437/161)
FOR 322 . . . Polycrystalline semiconductor source (437/162)
FOR 323 . . . Organic source (437/163)
FOR 324 . . . Glassy source or doped oxide (437/164)
FOR 325 . . From vapor phase (437/165)
FOR 326 . . . In plural stages (437/166)
FOR 327 . . . Zn (Zinc) dopant (437/167)
FOR 328 . . . Solid source is operative relation with semiconductor material (437/168)
FOR 329 . . . . In capsule type enclosure (437/169)
FOR 330DIRECTLY APPLYING ELECTRICAL CURRENT (437/170)
FOR 331 . And regulating temperature (437/171)
FOR 332 . Alternating or pulsed current (437/172)
FOR 333APPLYING CORPUSCULAR OR ELECTROMAGNETIC ENERGY (437/173)
FOR 334 . To anneal (437/174)
FOR 335FORMING SCHOTTKY CONTACT (437/175)
FOR 336 . On semiconductor compound (437/176)
FOR 337 . . Multi-layer electrode (437/177)
FOR 338 . Using platinum group silicide, i.e., silicide of Pt (Platinum), Pd (Palladium), Rh (Rhodium), Ru (Ruthenium), Ir (Iridium), Os (Osmium) (437/178)
FOR 339 . Using metal, i.e., Pt (Platinum), Pd (Palladium), Rh (Rhodium), Ru (Ruthenium), Ir (Iridium), Os (Osmium), Au (Gold), Ag (Silver) (437/179)
FOR 340MAKING OR ATTACHING ELECTRODE ON OR TO SEMICONDUCTOR, OR SECURING COMPLETED SEMICONDUCTOR TO MOUNTING OR HOUSING (437/180)
FOR 341 . Forming transparent electrode (437/181)
FOR 342 . Forming beam electrode (437/182)
FOR 343 . Forming bump electrode (437/183)
FOR 344 . Electrode formed on substrate composed of elements of Group III and Group V semiconductor compound (437/184)
FOR 345 . Electrode formed on substrate composed of elements of Group II and Group VI semiconductor compound (437/185)
FOR 346 . Single polycrystalline electrode layer on substrate (437/186)
FOR 347 . Single metal layer electrode on substrate (437/187)
FOR 348 . . Subsequently fusing, e.g., alloying, sintering, etc. (437/188)
FOR 349 . Forming plural layered electrode (437/189)
FOR 350 . . Including central layer acting as barrier between outer layers (437/190)
FOR 351 . . Of polysilicon only (437/191)
FOR 352 . . Including refractory metal layer of Ti (Titanium), Zr (Zirconium), Hf (Hafnium), V (Vanadium), Nb (Niobium), Ta (Tantalum), Cr (Chromium), Mo (Molybdenum), W (Tungsten) (437/192)
FOR 353 . . Including polycrystalline silicon layer (437/193)
FOR 354 . . Including Al (Aluminum) layer (437/194)
FOR 355 . . Including layer separated by insulator (437/195)
FOR 356 . Forming electrode of alloy or electrode of a compound of Si (Silicon) (437/196)
FOR 357 . . Al (Aluminum) alloy (437/197)
FOR 358 . . . Including Cu (Copper) (437/198)
FOR 359 . . . Including Si (Silicon) (437/199)
FOR 360 . . Silicide of Ti (Titanium), Zr (Zirconium), Hf (Hafnium), V (Vanadium), Nb (Niobium), Ta (Tantalum), Cr (Chromium), Mo (Molybdenum), W (Tungsten), (437/200)
FOR 361 . . Of plantinum metal group Ru (Ruthenium), Rh (Rhodium), Pd (Palladium), Os (Osmium), Ir (Iridium), Pt (Platinum) (437/201)
FOR 362 . . By fusing metal with semiconductor (alloying) (437/202)
FOR 363 . Depositing electrode in preformed recess in substrate (437/203)
FOR 364 . Including positioning of point contact (437/204)
FOR 365 . Making plural devices (437/205)
FOR 366 . . Using strip lead frame (437/206)
FOR 367 . . . And encapsulating (437/207)
FOR 368 . . Stacked array, e.g., rectifier, etc. (437/208)
FOR 369 . Securing completed semiconductor to mounting, housing or external lead (437/209)
FOR 370 . . Including contaminant removal (437/210)
FOR 371 . . Utilizing potting or encapsulating material only to surround leads and device to maintain position, i.e. without housing (437/211)
FOR 372 . . . Including application of pressure (437/212)
FOR 373 . . . Glass material (437/213)
FOR 374 . . Utilizing header (molding surface means) (437/214)
FOR 375 . . Insulating housing (437/215)
FOR 376 . . . Including application of pressure (437/216)
FOR 377 . . . And lead frame (437/217)
FOR 378 . . . Ceramic housing (437/218)
FOR 379 . . . Including encapsulating (437/219)
FOR 380 . . Lead frame (437/220)
FOR 381 . . Metallic housing (437/221)
FOR 382 . . . Including application of pressure (437/222)
FOR 383 . . . Including glass support base (437/223)
FOR 384 . . . Including encapsulating (437/224)
FOR 385INCLUDING COATING OR MATERIAL REMOVAL, E.G., ETCHING, GRINDING, ETC. (437/ 225)
FOR 386 . Substrate dicing (437/226)
FOR 387 . . With a perfecting coating (437/227)
FOR 388 . Coating and etching (437/228)
FOR 389 . Of radiation resist layer (437/229)
FOR 390 . By immersion metal plating from solution, i.e., electroless plating (437/230)
FOR 391 . By spinning (437/231)
FOR 392 . Elemental Se (Selenium) substrate or coating (437/232)
FOR 393 . Of polycrystalline semiconductor material on substrate (437/233)
FOR 394 . . Semiconductor compound or mixed semiconductor material (437/234)
FOR 395 . Of a dielectric or insulative material (437/235)
FOR 396 . . Containing Group III atom (437/236)
FOR 397 . . . By reacting with substrate (437/237)
FOR 398 . . Monoxide or dioxide or Ge (Germanium) or Si (Silicon) (437/238)
FOR 399 . . . By reacting with substrate (437/239)
FOR 400 . . . Doped with impurities (437/240)
FOR 401 . . Si (Silicon) and N (Nitrogen) (437/241)
FOR 402 . . . By chemical reaction with substrate (437/242)
FOR 403 . . Directly on semiconductor substrate (437/243)
FOR 404 . . . By chemical conversion of substrate (437/244)
FOR 405 . Comprising metal layer (437/245)
FOR 406 . . On metal (437/246)
FOR 407TEMPERATURE TREATMENT MODIFYING PROPERTIES OF SEMICONDUCTOR, E.G., ANNEALING, SINTERING, ETC. (437/247)
FOR 408 . Heating and cooling (437/248)
FOR 409INCLUDING SHAPING (437/249)
FOR 410MISCELLANEOUS (437/250)
FOR 411UTILIZING PROCESS EQUIVALENTS OR OPTIONS (437/900)
FOR 412MAKING PRESSURE SENSITIVE DEVICE (437/901)
FOR 413MAKING DEVICE HAVING HEAT SINK (437/902)
FOR 414MAKING THERMOPILE (437/903)
FOR 415MAKING DIODE (437/904)
FOR 416 . Light emmitting diode (437/905)
FOR 417 . . Mounting and contact (437/906)
FOR 418LASER PROCESSING OF FIELD EFFECT TRANSISTOR (FET) (437/907)
FOR 419LASER PROCESSING OF TRANSISTOR (437/908)
FOR 420MAKING TRANSISTOR ONLY (437/909)
FOR 421MAKING JOSEPHSON JUNCTION DEVICE (437/910)
FOR 422MAKING JUNCTION-FIELD EFFECT TRANSISTOR (J-FET) OR STATIC INDUCTION THYRSISTOR (SIT) DEVICE (437/911)
FOR 423MAKING METAL SEMICONDUCTOR FIELD EFFECT TRANSISTOR (MESFET) DEVICE ONLY (437/912)
FOR 424MAKING METAL OXIDE SEMICONDUCTOR FIELD EFFECT TRANSISTOR (MOSFET) DEVICE (437/913)
FOR 425MAKING NON-EPITAXIAL DEVICE (437/914)
FOR 426MAKING VERTICALLY STACKED DEVICES (3-DIMENSIONAL STRUCTURE) (437/915)
FOR 427MAKING PHOTOCATHODE OR VIDICON (437/916)
FOR 428MAKING LATERAL TRANSISTOR (437/917)
FOR 429MAKING RESISTOR (437/918)
FOR 430MAKING CAPACITOR (437/919)
FOR 431MAKING SILICON-OXIDE-NITRIDE-OXIDE ON SILICON (SONOS) DEVICE (437/920)
FOR 432MAKING STRAIN GAGE (437/921)
FOR 433MAKING FUSE OR FUSABLE DEVICE (437/922)
FOR 434WITH REPAIR OR RECOVERY OF DEVICE (437/923)
FOR 435HAVING SUBSTRATE OR MASK ALIGNING FEATURE (437/924)
FOR 436SUBSTRATE SUPPORT OR CAPSULE CONSTRUCTION (437/925)
FOR 437CONTINUOUS PROCESSING (437/926)
FOR 438FORMING HOLLOW BODIES AND ENCLOSED CAVITIES (437/927)
FOR 439ENERGY BEAM TREATING RADIATION RESIST ON SEMICONDUCTOR (437/928)
FOR 440RADIATION ENHANCED DIFFUSION (R.E.D.) (437/929)
FOR 441ION BEAM SOURCE AND GENERATION (437/930)
FOR 442IMPLANTATION THROUGH MASK (437/931)
FOR 443RECOIL IMPLANTATION (437/932)
FOR 444DUAL SPECIES IMPLANTATION OF SEMICONDUCTOR (437/933)
FOR 445DOPANT ACTIVATION PROCESS (437/934)
FOR 446BEAM WRITING OF PATTERNS (437/935)
FOR 447BEAM PROCESSING OF COMPOUND SEMICONDUCTOR DEVICE (437/936)
FOR 448HYDROGEN PLASMA TREATMENT OF SEMICONDUCTOR DEVICE (437/937)
FOR 449MAKING RADIATION RESISTANT DEVICE (437/938)
FOR 450DEFECT CONTROL OF SEMICONDUCTOR WAFER (PRETREATMENT) (437/939)
FOR 451SELECTIVE OXIDATION OF ION AMORPHOUSIZED LAYERS (437/940)
FOR 452CONTROLLING CHARGING STATE AT SEMICONDUCTOR-INSULATOR INTERFACE (437/941)
FOR 453INCOHERENT LIGHT PROCESSING (437/942)
FOR 454THERMALLY ASSISTED BEAM PROCESSING (437/943)
FOR 455UTILIZING LIFT OFF (437/944)
FOR 456STOICHIOMETRIC CONTROL OF HOST SUBSTRATE COMPOSITION (437/945)
FOR 457SUBSTRATE SURFACE PREPARATION (437/946)
FOR 458FORMING TAPERED EDGES ON SUBSTRATE OR ADJACENT LAYERS (437/947)
FOR 459MOVABLE MASK (437/948)
FOR 460CONTROLLED ATMOSPHERE (437/949)
FOR 461SHALLOW DIFFUSION (437/950)
FOR 462AMPHOTERIC DOPING (437/951)
FOR 463CONTROLLING DIFFUSION PROFILE BY OXIDATION (437/952)
FOR 464DIFFUSION OF OVERLAPPING REGIONS (COMPENSATION) (437/953)
FOR 465VERTICAL DIFFUSION THROUGH A LAYER (437/954)
FOR 466NONSELECTIVE DIFFUSION (437/955)
FOR 467DISPLACING P-N JUNCTION (437/956)
FOR 468ELECTROMIGRATION (437/957)
FOR 469SHAPED JUNCTION FORMATION (437/958)
FOR 470USING NONSTANDARD DOPANT (437/959)
FOR 471WASHED EMITTER PROCESS (437/960)
FOR 472EMITTER DIP PREVENTION (OR UTILIZATION) (437/961)
FOR 473UTILIZING SPECIAL MASKS (CARBON, ETC.) (437/962)
FOR 474LOCALIZED HEATING CONTROL DURING FLUID GROWTH (437/963)
FOR 475FLUID GROWTH INVOLVING VAPOR-LIQUID-SOLID STAGES (437/964)
FOR 476FLUID GROWTH OF COMPOUNDS COMPOSED OF GROUPS II, IV, OR VI ELEMENTS (437/965)
FOR 477FORMING THIN SHEETS (437/966)
FOR 478PRODUCING POLYCRYSTALLINE SEMICONDUCTOR MATERIAL (437/967)
FOR 479SELECTIVE OXIDATION OF POLYCRYSTALLINE LAYER (437/968)
FOR 480FORMING GRADED ENERGY GAP LAYERS (437/969)
FOR 481DIFFERENTIAL CRYSTAL GROWTH (437/970)
FOR 482FLUID GROWTH DOPING CONTROL (437/971)
FOR 483UTILIZING MELT-BACK (437/972)
FOR 484SOLID PHASE EPITAXIAL GROWTH (437/973)
FOR 485THINNING OR REMOVAL OF SUBSTRATE (437/974)
FOR 486DIFFUSION ALONG GRAIN BOUNDARIES (437/975)
FOR 487CONTROLLING LATTICE STRAIN (437/976)
FOR 488UTILIZING ROUGHENED SURFACE (437/977)
FOR 489UTILIZING MULTIPLE DIELECTRIC LAYERS (437/978)
FOR 490UTILIZING THICK-THIN OXIDE FORMATION (437/979)
FOR 491FORMING POLYCRYSTALLINE SEMICONDUCTOR PASSIVATION (437/980)
FOR 492PRODUCING TAPERED ETCHING (437/981)
FOR 493REFLOW OF INSULATOR (437/982)
FOR 494OXIDATION OF GATE OR GATE CONTACT LAYER (437/983)
FOR 495SELF-ALIGNING FEATURE (437/984)
FOR 496DIFFERENTIAL OXIDATION AND ETCHING (437/985)
FOR 497DIFFUSING LATERALLY AND ETCHING (437/986)
FOR 498DIFFUSING DOPANTS IN COMPOUND SEMICONDUCTOR (437/987)


Information Products Division -- Contacts

Questions regarding this report should be directed to:

U.S. Patent and Trademark Office
Information Products Division
PK3- Suite 441
Washington, DC 20231

tel: (703) 306-2600
FAX: (703) 306-2737
email: oeip@uspto.gov


[Top] [Manual of Classification, Class Listing] [Classification Index] [USPTO Home Page]

Last Modified: 6 October 2000